CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - dds verilog

搜索资源列表

  1. Sawtooth_Wave

    0下载:
  2. verilog写的锯齿波程序,基于DDS原路的。内含testbench仿真文件。功能十分强大-verilog write sawtooth program, based on the same route of DDS. Embedded testbench simulation files. Is very powerful
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4427145
    • 提供者:发哥
  1. six_wave

    0下载:
  2. 产生六种波形的DDS信号发生器,用verilog实现,有modersim仿真程序和结果,产生正玄波,方波,锯齿波,三角波,阶梯波。实现完全可用-the dds can output six signal,write in verilog。
  3. 所属分类:Other systems

    • 发布日期:2017-05-03
    • 文件大小:782073
    • 提供者:huawei
  1. CfgDDS_9910

    1下载:
  2. dds ad9910配置的verilog hdl程序,模块化设计,输入待配置的数据,字长,启动信号,即可自动产生时序,完成一次配置,模块还有done握手信号,方便用户调用时,反复多次配置。-dds ad9910 configuration verilog hdl program, modular design, the input data to be configured, word length, the start signal, the timing can be automatical
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1348
    • 提供者:汪海兵
  1. QAM_verilog

    0下载:
  2. 基于FPGA的16QAM,用verilog编写,其中DDS为自己编写,含设计文件和testbench。已通过moldesim软件仿真。 -FPGA-based 16QAM, with verilog writing, including DDS for their preparation, including design files and testbench. Simulation software has been through moldesim.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3699
    • 提供者:饶黎
  1. squre_generate

    0下载:
  2. 该程序使用Verolog HDL 语言编写,是一个使用DDS原理产生方波的程序,该程序还提供三个按键来改变频率。-This program is developed by Verilog HDL, and is used to generate a squre waveform of any frequancy. This program provide three buttons to change the frequency.
  3. 所属分类:Other systems

    • 发布日期:2017-04-12
    • 文件大小:818
    • 提供者:董怀锁
  1. eetop.cn_dds

    0下载:
  2. 基于verilog的DDS设计,内附代码,仿真环境等说明-the DDS design based on verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3165461
    • 提供者:王亮
  1. DDS_TEXT1_1.1

    0下载:
  2. dds用Verilog代码实现,很适合做信号处理的同学借用。-DDS with Verilog code, it is suitable for signal processing of the students to borrow.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:3000800
    • 提供者:肖志涛
  1. DDS_sin

    0下载:
  2. 这是一个用Verilog编写的以实现DDS功能的程序,包含了正弦、方波、锯齿波。-This is a Verilog written procedures to implement DDS functions, including sine, square wave, sawtooth.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:2616594
    • 提供者:zhijun
  1. DDDDDDDDDSSS

    0下载:
  2. FPGA实现DDS正弦波、方波、三角波发生器Verilog程序(已验证)Quartus工程文件-FPGA realization DDS sine, square, triangle wave generator Verilog program (verified) Quartus Project Files
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:2844804
    • 提供者:wangjiali
  1. vftvdr

    0下载:
  2. 基于FPGA的DDS信号发生器设计,包含Quartus 的工程,打开即可使用,Verilog 语言编写!-The DDS signal generator based on FPGA design, including the Quartus project, open to use, Verilog language! 朗读 显示对应的拉丁字符的拼音 字典- 查看字典详细内容-FPGA design, including the Quartus project, open to use, Ve
  3. 所属分类:assembly language

    • 发布日期:2017-04-30
    • 文件大小:282602
    • 提供者:熊健友
  1. dac_900

    1下载:
  2. DAC900芯片驱动的Verilog语言描述,亲测可用。另外的是FIR滤波代码和DDS波形发生器的代码。既可单独使用,也可以整合在一起。-DAC900 chips driven Verilog language descr iption, pro-test available. Another is the FIR filter code and DDS waveform generator code. Either used alone or can be integrated.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:43189
    • 提供者:唐宏伟
  1. Gen_Square

    0下载:
  2. 利用DDS技术产生100~1MHz的方波 利用DDS技术产生100~1MHz的方波-generate 100Hz ~ 1MHz square with DDS,using verilog HDL generate 100~1MHz square with DDS,using verilog HDL generate 100~1MHz square with DDS,using verilog HDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1103
    • 提供者:xuejing
  1. dds_generater

    0下载:
  2. 波形发生器,可以生成正弦波、三角波、方波、锯齿波;可以选择输出频率和幅度,基于DDS设计,verilog和QuartusII开发-Waveform generator can generate sine, triangle, square wave, sawtooth wave you can the output frequency and amplitude, DDS-based design, verilog and development QuartusII
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:5154290
    • 提供者:zhang
  1. FPGA_phase_lock_demodulation

    2下载:
  2. FPGA 用Verilog语言实现数字锁相解调系统,包含了正交的DDS函数发生器和相应的AD驱动-FPGA digital demodulation system in Verilog lock, comprising a DDS orthogonal function generator and driving the corresponding AD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-14
    • 文件大小:47524864
    • 提供者:gdres
  1. DDS_BPSK

    1下载:
  2. 基于DDS的BPSK调制器设计Verilog源码- U57FA u4E8.08 u868
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:45833216
    • 提供者:不言中
  1. sin

    0下载:
  2. 能够实现正弦波的输出以及通过频率控制字与相位控制字控制正弦波的相位与频率。(The output of the sine wave can be realized and the phase and frequency of the sine wave can be controlled by two control words.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-21
    • 文件大小:3072
    • 提供者:BCQC
  1. dds_rom

    0下载:
  2. 基于查找表的DDS的Verilog实现,分为相位累加器模块、ROM模块和顶层DDS模块(Verilog implementation of DDS based on lookup table)
  3. 所属分类:汇编语言

    • 发布日期:2018-05-01
    • 文件大小:3072
    • 提供者:呱啤教教主
« 1 2 ... 5 6 7 8 9 10»
搜珍网 www.dssz.com