CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - fm dds

搜索资源列表

  1. FM-ok

    1下载:
  2. VHDL编写的驱动DDS,ad9850的程序,用于产生FM波
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:318268
    • 提供者:bobo
  1. DDS_信号源

    0下载:
  2. dds 精确步进100HZ.拨码开关选择FSK,FM等功能.最高频率25M,DA芯片9760.VHDL编写
  3. 所属分类:VHDL编程

    • 发布日期:2009-08-20
    • 文件大小:5324098
    • 提供者:wuyunzheng
  1. DDS

    1下载:
  2. 实现DDS功能性仿真,可以产生正弦波信号,或者线性调频信号-DDS functionality to achieve simulation can generate sine wave signals, or a linear FM signal
  3. 所属分类:Other systems

    • 发布日期:2017-04-14
    • 文件大小:3828
    • 提供者:闫鑫
  1. at89s51+ad9850+lcd1

    0下载:
  2. 此为DDS AD9851使用at89s51控制之程式,内包含初始频率设定以及键盘等设定,此程式使用AD9851串列传输,内容很完整.-This is the DDS AD9851 using AT89S51 control program, which includes initial frequency settings and keyboard settings, this program using AD9851 serial transmission, the content is co
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-25
    • 文件大小:2222
    • 提供者:JERRY
  1. microcontroller

    2下载:
  2. 本系统以51单片机为控制核心,由正弦信号发生模块、功率放大模块、调幅(AM)、调频(FM)模块、数字键控(ASK,PSK)模块以及测试信号发生模块组成。采用数控的方法控制DDS芯片AD9850产生0Hz-30MHz正弦信号,经滤波、放大和功放模块放大至6v并具有一定的驱动能力。测试信号发生模块产生的1kHz正弦信号经过调幅(AM)模块、调频(FM)模块,对高频载波进行调幅或调频。二进制基带序列信号送入数字键控模块,产生二进制PSK或ASK信号,同时对ASK信号进行解调,恢复出原始数字序列。
  3. 所属分类:SCM

    • 发布日期:2015-05-07
    • 文件大小:2032238
    • 提供者:何勇
  1. dds_9760_ALL1

    0下载:
  2. DDS频率精确步进100HZ,拔码选择FSK,PSK,FM,ASK功能。-dds base on vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:4042755
    • 提供者:李逵
  1. DDS

    0下载:
  2. FPGA实现直接数字频率合成(DDS),使用EP1C3T144C8通过调试-Cyclone,aaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:140152
    • 提供者:qiuwang
  1. dds

    1下载:
  2. 如何利用FPGA产生DDS调频信号 很具体的-How to make use of DDS generated FM signal FPGA specific
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:757234
    • 提供者:梁梁
  1. FPGADDS

    0下载:
  2. dds,FPGA波形发生器,波表,接受,发送-dds, FPGA waveform generator, wave form, to receive, send
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:540562
    • 提供者:伟贤
  1. dds

    0下载:
  2. 基于DDS的调频调相 通过改变频率控制字来控制 程序编译过 搭过硬件 可以实现-FM Based on DDS phase modulation by changing the frequency control word to control the program compiled the hardware can be achieved take-off
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:459318
    • 提供者:梁梁
  1. FSK

    0下载:
  2. 基于DDS的FSK调频,通过控制频率控制字1和频率控制字2来实现不同频率选择-DDS-based FSK FM, by controlling the frequency control and frequency control word 1 word 2 to achieve different frequency selection
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:759444
    • 提供者:梁梁
  1. AD9959

    0下载:
  2. 程序主要编写了DDS芯片AD9959的驱动,并实现了其相应的功能,如AM、FM等-Program and wrote a DDS chip AD9959 driver, and achieved its corresponding features, such as AM, FM, etc.
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:114139
    • 提供者:wei
  1. dds_final

    1下载:
  2. 使用Verilog HDL语言实现的一个DDS,可以发生0-10Mhz正弦波、方波、三角波,频率步进可调,FM调制、AM调制,调制度可调。DA芯片为8位并行,160MHz-Using the Verilog HDL language implementation of a DDS, can occur 0-10Mhz sine, square, triangle wave, frequency step tunable, FM modulation, AM modulation, adjusta
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-09-21
    • 文件大小:1638657
    • 提供者:nostalgia
  1. DDS(fsk-ask-psk)

    0下载:
  2. 基于VHDL的波形调制,其中包括调频、调幅,调脉宽等-VHDL-based waveform modulation, including FM, AM, pulse width modulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-22
    • 文件大小:6747337
    • 提供者:王展
  1. triangle_LFM

    0下载:
  2. DDS芯片产生三角波线性调频信号的FPGA程序-DDS chip generated triangular wave linear FM signal of the FPGA program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:103750
    • 提供者:TB-TB-MAN
  1. DAC908-AM-FM--sinsin

    1下载:
  2. 基于FPGA的DDS发生器以及AM、FM模拟调制-The DDS generator and FPGA-based AM, FM analog modulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1675965
    • 提供者:xuexun
  1. DDS

    1下载:
  2. 能在DDS中用Verilog HDL语言实现FM,AM,FSK,ASK,PSK,结合可编程器件FGPA等等就能实现这些功能 -DDS can be used in Verilog HDL language FM, AM, FSK, ASK, PSK, etc. FGPA programmable devices can be combined to achieve these functions
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-13
    • 文件大小:6281501
    • 提供者:王凡
  1. ddsmatlab

    0下载:
  2. DDS用matlab实现的源程序,可以实现调频信号,和调相信号-DDS source code with matlab implementation, can achieve FM signal, and phase modulation signal
  3. 所属分类:matlab

    • 发布日期:2017-03-28
    • 文件大小:714
    • 提供者:王冉
  1. 51单片机控制DDS的程序

    0下载:
  2. 51单片机控制DDS的程序,有调频功能和直接输入功能-51 single-chip DDS control procedures have FM function and direct input
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-04-14
    • 文件大小:2971
    • 提供者:asacoup
  1. fm(912)

    1下载:
  2. 利用altera的FPGA,采用DDS原理实现FM调试,调试系数可改变,并通过DA变换输出,仿真以及下板测试成功-The use altera FPGA, using the DDS principle to achieve FM debugging, debugging coefficient can be changed through DA conversion output, simulation, and the lower plate test is successful
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-05-18
    • 文件大小:3182592
    • 提供者:luomeigang
« 12 3 »
搜珍网 www.dssz.com