CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - mips CPU

搜索资源列表

  1. u-boot-1.1.4-farsight.tar

    0下载:
  2. U-boot,嵌入式启动引导程序源代码,支持ARM,PPC,MIPS等多种 CPU
  3. 所属分类:Linux/Unix编程

    • 发布日期:2008-10-13
    • 文件大小:9780513
    • 提供者:huangjun
  1. ppcboot-2.0.0

    0下载:
  2. PPCBoot是德国DENX小组开发的用于多种嵌入式CPU的Bootloader引导程序,主要由德国的工程师Wolfgang Denk和Intemet上的一群自由开发人员对其进行维护和开发。支持PowerPC、ARM、MIPS、m68K等多种处理器平台,易于裁剪和调试。 -PPCBoot Germany DENX team developed for a variety of embedded CPU' s Bootloader boot procedures, mainly by t
  3. 所属分类:Embeded Linux

    • 发布日期:2017-04-09
    • 文件大小:1913786
    • 提供者:李立
  1. mips_project

    0下载:
  2. 我用verilog写的risc指令集的mips的cpu。可以支持定点运算。顶层单元是top。-I used to write verilog mips risc instruction set of the cpu. To support fixed-point arithmetic. Top-level unit is the top.
  3. 所属分类:Other systems

    • 发布日期:2017-03-27
    • 文件大小:17046
    • 提供者:yangxinghua
  1. ffaudio.tar

    0下载:
  2. Linux OSS声卡驱动测试程序,支持播放,录制,支持多种速率,多种格式,以及通道。如有其他特殊需要,只需添加ioctl接口即可。 本程序在Linux 2.6.20下测试通过,并可方便地移植到MIPS/ARM架构CPU上。-Linux OSS sound drivers test procedures in support of broadcast, recording, supports a wide range of rates, a variety of formats, as we
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-13
    • 文件大小:1559
    • 提供者:H Simon
  1. FPGA_design_of_a_pipelined_CPU

    0下载:
  2. 基于FPGA流水线CPU控制器的设计与实现:在FPGA上设计并实现了一种具有MIPS风格的CPU硬布线控制器。-FPGA design of a pipelined CPU:a hard-wiring CPU controller with a MIPS-style is designed in FPGA.
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-01
    • 文件大小:274347
    • 提供者:卢刚
  1. TMS320LF2407A

    0下载:
  2. ti公司的TMS320x240xA系列芯片的使用方法,很全面的,但是是纯英文版的,有识之士拾之 -The TMS320LF240xA and TMS320LC240xA devices, new members of the TMS320C24x generation of digital signal processor (DSP) controllers, are part of the TMS320C2000 platform of fixed-po
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-03-31
    • 文件大小:876658
    • 提供者:张月锋
  1. qemu-0.10.5.tar

    0下载:
  2. QEMU 10.0.5, 最新版仿真X86,ARM,MIPS等CPU的模拟器,运行在Linux下。-QEMU 10.0.5, the latest version. Can simulate X86, ARM, MIPS etc.
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-05-14
    • 文件大小:3662495
    • 提供者:Archie
  1. cp3

    0下载:
  2. This zipfile is composed of a bunch of MIPS codes that might be helpful to some people who are developing CPU
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:180359
    • 提供者:Wan Lee
  1. Lab1

    0下载:
  2. This zipfile is composed of a bunch of MIPS codes that might be helpful to some people who are developing CPU
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-05
    • 文件大小:100514
    • 提供者:Wan Lee
  1. ppcboot-2.0.0

    0下载:
  2. ppcboot源码,支持arm,ppc,mips,i386等cpu,负责加载linux操纵系统-the code of pppcboot
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-05-13
    • 文件大小:3516754
    • 提供者:yungangt
  1. nano-X-docs

    0下载:
  2. MicroWindows是一个开放源码的嵌入式GUI软件,目的是把图形视窗环境引入到运行Linux的小型设备和平台上。作为X Window系统的替代品,MicroWindows可以用更少的RAM和文件存储空间(100KB~600KB)提供相似的功能,允许设计者轻松加入各种显示设备、鼠标、触摸屏和键盘等;可移植性非常好,可用C语言实现;支持Intel 16位/32位CPU、MIPS R4000以及基于ARM内核的处理器芯片。由于和微软的windows注册商标存在冲突,从2005年月起,MicroW
  3. 所属分类:Embeded Linux

    • 发布日期:2017-03-26
    • 文件大小:80092
    • 提供者:王振
  1. mipsfinal

    0下载:
  2. 用vhdl设计的一个mips小型cpu,不带流水,有r类,i类,j类指令都有~·-Using vhdl design a mips small cpu, with no running water, there are r class, i type, j class instruction have ~*
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:354713
    • 提供者:yusufu
  1. multi_cpu

    1下载:
  2. 多周期CPU,mips指令集,实现了部分指令,包含测试程序,verilog-Multi-cycle CPU
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:5558
    • 提供者:阿月
  1. cpu_1

    0下载:
  2. mips单周期cpu设计,实现MIPS中的11条指令,在设计的cpu中运行快速排序程序进行验证。-mips one cycle cpu design,run quick sort promgram for test.
  3. 所属分类:Other systems

    • 发布日期:2017-11-14
    • 文件大小:50628049
    • 提供者:chenzhenhong
  1. MIPS_CPU

    0下载:
  2. MIPS结构的CPU,采用VHDL编码,附带验证程序,能够跑题hash算法,流水灯,求π程序-MIPS structure of the CPU, using VHDL coding, with the verification process, to get off track and hash algorithms, water lights, find π procedures
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:464147
    • 提供者:maylag
  1. mipsr4000User_Manual

    0下载:
  2. mips4000使用手册,非常经典的一款CPU,是初学mips的好东西-mips4000 manual, very classic a CPU, is a good thing beginners mips
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-05-04
    • 文件大小:1221626
    • 提供者:董军
  1. Windows_CE_OAL

    0下载:
  2. Windows CE微软针对嵌入式领域推出的一款全新的操作系统。之所以说它是一款全新的操作系统,是因为尽管Windows CE的UI非常接近其它的桌面版Windows操作系统,但是它的内核完全是重新写的,并不是任何一款桌面版Windows的精简版本。 Windows CE是一种支持多种CPU架构的操作系统,其中包括ARM、x86、MIPS和SHx,极大地减轻了OEM开发过程中移植操作系统的工作量-The field of Microsoft Windows CE for embedded in
  3. 所属分类:Windows CE

    • 发布日期:2017-04-17
    • 文件大小:72080
    • 提供者:chenl
  1. MIPS32

    0下载:
  2. MIPS32指令集兼容的CPU模拟器设计 健词:MIPs处理器;模拟器;高速缓存;分支预-of CPU Simulator Compatible with MIPS32 Instruction Set A design scheme of a CPu simulator which is compatjble with MIPS32 instruction set is presented.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:293731
    • 提供者:刘全
  1. A-RISC-Design

    0下载:
  2. RISC设计:MIPS指令集控制器核,详细介绍一款32位risc-cpu。-A RISC Design:Synthesis of the MIPS Processor Core
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1130462
    • 提供者:梁梁
  1. MIPS_CPU_OR2000

    0下载:
  2. MIPS架构的开发的CPU软核OR2000 verilog实现,MIPS体系结构cpu设计入门参考-The development of the MIPS architecture CPU soft core OR2000
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:107291
    • 提供者:王垚
« 1 2 3 4 5 6 78 9 10 »
搜珍网 www.dssz.com