CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - motor vhdl

搜索资源列表

  1. 直流电机控制器

    0下载:
  2. 直流电机控制器,属于精品vhdl源码,可在eda仿真工具上仿真实现-DC motor controller is excellent VHDL source code can be sown in simulation tools Simulation
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2699
    • 提供者:阎磊
  1. 步进电机控制器

    0下载:
  2. 采用VHDL编写的步进电机控制程序-stepping motor controlling program written by VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1500
    • 提供者:陈旭
  1. EP2C20_TEST.rar

    1下载:
  2. 内含无刷电机驱动VHDL模块,读码盘4倍频模块,并用NIOS核实现简单无刷电机闭环控制。,Brushless motor driver includes VHDL modules, reading frequency module plate 4, and nuclear NIOS simple closed-loop control of brushless motor.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-07-29
    • 文件大小:15531593
    • 提供者:王少鸷
  1. zldj.rar

    0下载:
  2. 一种直流电机伺服系统的设计,其中包括了各种控制模块的VHDL语言,A kind of DC motor servo system design, including the various control modules of VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:2003228
    • 提供者:刘建平
  1. bujindianji

    0下载:
  2. 利用FPGA,VHDL语言的状态机设计步进电机驱动。-FPGA, VHDL language state machine design stepper motor driver. . .
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:
    • 文件大小:293299
    • 提供者:卢宇生
  1. 14241534251

    0下载:
  2. VHDL设计举例:直流电机控制器设计的程序清单-VHDL design, for example: DC motor controller design for the list of procedures
  3. 所属分类:assembly language

    • 发布日期:2017-04-15
    • 文件大小:7887
    • 提供者:焦健
  1. 20084142011081129

    0下载:
  2. VHDL设计举例:直流电机控制器.docgfddrhd-VHDL design, for example: DC motor controller. Docgfddrhd
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:12307
    • 提供者:焦健
  1. pwm_control

    0下载:
  2. 用VHDL实现的对电机的控制,包括正反转和调速-VHDL implementation of the use of motor control, including the positive and Speed
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:731
    • 提供者:wumingxing
  1. VHDLexample

    0下载:
  2. 步进电机控制,直流电机控制,加法器,状态机等等经典的VHDL例子程序。-Stepper motor control, DC motor control, adders, state machines, etc. The classic example VHDL procedures.
  3. 所属分类:其他小程序

    • 发布日期:2017-04-15
    • 文件大小:4899
    • 提供者:张庆东
  1. 76_PID

    0下载:
  2. 电机转速控制器的硬件描述 使用VHDL语言 注释详细 想要的赶紧下载吧-Motor speed controller using hardware descr iption language VHDL detailed notes quickly want to download it
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2511
    • 提供者:kongde
  1. vhdl_bujindianjikongzhiqi

    1下载:
  2. vhdl编写步进电机控制器(基于FPGA)-the preparation of vhdl stepper motor controller
  3. 所属分类:VHDL编程

    • 发布日期:2013-10-17
    • 文件大小:1073
    • 提供者:jiangp
  1. vhdl_zhiliudianjikongzhiqi

    0下载:
  2. 用vhdl编写的一个直流电机控制器-Vhdl prepared using a DC motor controller
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:2424
    • 提供者:jiangp
  1. tt

    0下载:
  2. 判断电机转向,并实现分轴比输出脉冲数的VHDL代码。-Determine the motor shift, and the axial ratio at the output pulses of the VHDL code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:805
    • 提供者:tianson
  1. car

    1下载:
  2. 用VHDL实现的红外循迹小车程序,采用8个红外二极管(装在车头底部)识别路况,接L298电机驱动电路,能跑白色背景下的黑色赛道,且能应付道路分叉,孤岛及自启动等。-Implemented using VHDL infrared tracking car program, using eight infrared diodes (mounted on the front of the bottom) to identify traffic, then L298 motor driver circu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:643716
    • 提供者:jizhen
  1. step_motor

    0下载:
  2. 用FPGA控制步进电机,描述语言为VHDL-With the VHDL language to describe the control of stepper motor
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:1029
    • 提供者:任云杰
  1. zl

    0下载:
  2. VHDL程序设计应用举例:直流电机控制器-VHDL programming and application examples: DC Motor Controller
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:6092
    • 提供者:古月
  1. bujindianji

    0下载:
  2. VHDL通过设计有限状态机实现步进电机控制源码程序-VHDL implementation through the design of finite state machine source code stepper motor control program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:4103
    • 提供者:牟春晓
  1. 1

    0下载:
  2. 采用VHDL描述语言进行地步进电机控制系统的控制-VHDL descr iption language using the point of control into the motor control system
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4658
    • 提供者:shaohong
  1. SteppermotorVHDL

    0下载:
  2. 步进电机定位控制系统VHDL程序与仿真2010.5.4-Stepper motor position control system and simulation of VHDL 2010.5.4 Procedure
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:4705
    • 提供者:阿锦
  1. pwm

    0下载:
  2. 采用VHDL编写的步进电机控制程序。运行200步后停止-Prepared using VHDL stepper motor control program. After running 200 steps to stop
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:3314
    • 提供者:邓志远
« 1 2 3 4 5 67 8 9 »
搜珍网 www.dssz.com