CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - pcm vhdl

搜索资源列表

  1. PCMencoder

    1下载:
  2. 根据PCM编码特点,利用VHDL来设计出采编器;本设计为码率2Mbs,子长为8位,帧长100位。-According to the characteristics of PCM coding,here we use VHDL to design a editing device。
  3. 所属分类:VHDL编程

    • 发布日期:2017-05-06
    • 文件大小:96036
    • 提供者:大海
  1. MAIN_TX_V10

    1下载:
  2. 8路视频光端机的VHDL源码,此硬件使用以太网的SERDES 借用TBI接口传输PCM视频信号。-8-channel video PDH in VHDL source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:290801
    • 提供者:tr
  1. audio_codec

    0下载:
  2. i2s协议时飞利浦公司专门为开发音频而开发的协议,这是它的VHDL代码,希望有帮助-i2s agreement, Philips developed specifically for the development of the audio protocol, which is its VHDL code, and want to help
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1742924
    • 提供者:王涛
  1. VHDLpcm

    0下载:
  2. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:142001
    • 提供者:孟刚
  1. FPGAMP3_LUKA_Project_Proposal

    0下载:
  2. The goal of this project is to design a MPEG Layer III (MP3) player using a FPGA board. The FPGA will read MP3 source files, decode them into a 16-bit Pulse Code Modulated (PCM) output, and play the audio files through an external speaker.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:155264
    • 提供者:Amol/justamol
  1. PCM

    2下载:
  2. 本例设计一个码率为500kb/s,字长为8 位、帧长为128 个字、帧同步码为EB90H 的PCM 采编器。用VHDL语言实现的。-This designs a code to lead for the 500 kbs|s, the word is long for 8, the growing is synchronous code of for 128 words and for the EB90 H of PCM adopt to weave a machine.Use what VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2017-05-06
    • 文件大小:97426
    • 提供者:mr.liu
  1. pcm

    0下载:
  2. 基于VHDL的PCM编码源代码,很值得参考-PCM CODE BASED ON VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:6920
    • 提供者:李倩
  1. vhdl

    0下载:
  2. 基于FPGA的PCM编码源代码,快来参考啊-PCM code based on FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:5205
    • 提供者:李倩
  1. PCM-Coding

    0下载:
  2. VHDL语言实现了PCM采编器,应用计数器、数据选择器实现了PCM编码与传输控制,系统时钟由分频器实现。-VHDL language PCM editing application counter, the data selector PCM encoding and transmission control of the system clock by a divider.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:568584
    • 提供者:汪晨
  1. pcm

    1下载:
  2. 24选8多路选择计数器 PCM编解码,采编器VHDL 源代码,包括顶层文件。-PCM(Pule code modulation) code and decoder
  3. 所属分类:VHDL编程

    • 发布日期:2017-05-06
    • 文件大小:1379
    • 提供者:周跃辉
  1. PCM

    0下载:
  2. PCM码流时隙信号产生模块的VHDL实现-PCM stream slot signal generation module based on VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:33685
    • 提供者:real
  1. 学校课程设计

    0下载:
  2. 五人表决器和PCM调制的vhdl设计的代码和仿真报告。(Code and simulation reports for five voter registers and PCM modulated VHDL designs are presented.)
  3. 所属分类:其他

    • 发布日期:2017-12-27
    • 文件大小:1064960
    • 提供者:86752027
« 1 2»
搜珍网 www.dssz.com