CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - pwm

搜索资源列表

  1. PWM

    0下载:
  2. PWM脉宽调制 这是一个单片机进行脉宽调制(PWM) 直流电机调速测试程序. UNL2003提供电机驱动电流.(<=500MA/5V) 使用六个按键实现六种不同速度-PWM脉宽调制 这是一个单片机进行脉宽调制(PWM) 直流电机调速测试程序. UNL2003提供电机驱动电流.(<=500MA/5V) 使用六个按键实现六种不同速度
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:1420
    • 提供者:wuboqi
  1. pwm

    0下载:
  2. S3C2440,ARM-Linux下的PWM测试代码-S3C2440, ARM-Linux code under the PWM test
  3. 所属分类:Embeded Linux

    • 发布日期:2017-03-29
    • 文件大小:1166
    • 提供者:wang
  1. PWM

    0下载:
  2. 单片机利用PWM信号控制直流电机调速 接L298N时相应的管脚上最好接上10K的上拉电阻。 -Microcontroller using PWM signal control DC motor speed access L298N best when the corresponding pin of the pull-up resistor connected to the 10K.
  3. 所属分类:SCM

    • 发布日期:2017-03-23
    • 文件大小:1216
    • 提供者:绿叶露珠
  1. PWM

    0下载:
  2. PWM输出stc12c5a16ad PWM输出stc12c5a16ad
  3. 所属分类:SCM

    • 发布日期:2017-04-15
    • 文件大小:16335
    • 提供者:Diablo
  1. pwm

    0下载:
  2. 2812的PWM开发程序 2812的PWM开发程序
  3. 所属分类:DSP program

    • 发布日期:2017-03-31
    • 文件大小:146259
    • 提供者:张伟
  1. pwm

    0下载:
  2. FREESCALE单片机进行pwm功能测试的程序,占空比50 -FREESCALE microcontroller pwm functional test procedures, duty cycle 50
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:482837
    • 提供者:熊东
  1. PWM

    0下载:
  2. 单片机C语言编程PWM脉宽调制器程序模板 用于51内核-Microcontroller C programming language PWM Pulse Width Modulator program template for the 51 core
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:1635
    • 提供者:李小桐
  1. pwm

    1下载:
  2. 基于QT2.3.0编写的,pwm控制蜂鸣器的示例代码。 在linux2.6.29+Qtopia-2.2.0下编译通过,并在友善之臂mini2440下成功运行。所用芯片三星s3c2440-Based on QT2.3.0 prepared, pwm control the buzzer of the sample code. In linux2.6.29+ Qtopia-2.2.0 compiled by, and in a friendly, under the arm mini2440 r
  3. 所属分类:Embeded Linux

    • 发布日期:2015-09-21
    • 文件大小:313746
    • 提供者:兰欣
  1. PWM-LED

    0下载:
  2. 软件产生PWM-LED灯D1渐亮,已通过测试.-Software generated PWM-LED lights gradually brighter D1, has been tested.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-30
    • 文件大小:1088
    • 提供者:
  1. PWM

    0下载:
  2. PWM Generator Using DSPIC30F4011
  3. 所属分类:DSP program

    • 发布日期:2017-03-31
    • 文件大小:669
    • 提供者:nero
  1. PWM

    0下载:
  2. AVR定时器0的快速PWM实验程序,AVR定时器0的快速PWM实验程序-AVR Timer 0 fast PWM test program,AVR Timer 0 fast PWM test program
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:10428
    • 提供者:xujinhong
  1. PWM

    0下载:
  2. PIC18 PWM And C Codec-PIC18 PWM
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-04
    • 文件大小:1045
    • 提供者:bobo
  1. PWM

    0下载:
  2. PWM原理 2、调制器设计思想 3、具体实现设计 一、 PWM(脉冲宽度调制Pulse Width Modulation)原理: 脉冲宽度调制波通常由一列占空比不同的矩形脉冲构成,其占空比与信号的瞬时采样值成比例。图1所示为脉冲宽度调制系统的原理框图和波形图。该系统有一个比较器和一个周期为Ts的锯齿波发生器组成。语音信号如果大于锯齿波信号,比较器输出正常数A,否则输出0。因此,从图1中可以看出,比较器输出一列下降沿调制的脉冲宽度调制波。 -PWM principle 2
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:60986
    • 提供者:weiwen
  1. pwm

    0下载:
  2. 采用vhdl语言实现12路的pwm波控制。-Language implementation using vhdl wave pwm control of the road 12.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1614463
    • 提供者:yangbin
  1. pwm

    0下载:
  2. pwm code in c for pic microcontrollers
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-02
    • 文件大小:766
    • 提供者:Lowis
  1. PWM

    0下载:
  2. DSP TMS320LF2407 PWM控制电机实验-DSP TMS320LF2407 PWM control motor experiment
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-30
    • 文件大小:55257
    • 提供者:szc
  1. PWM

    1下载:
  2. 用VERILOG语言编写的PWM驱动电机的实验,可控制绝大部分实验箱上的步进电机-PWM DRIVER
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:2404
    • 提供者:sexian
  1. pwm

    0下载:
  2. PWM library example for pic microcontrollers.
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-04
    • 文件大小:636
    • 提供者:Silvio
  1. pwm

    0下载:
  2. 基于单片机的pwm波的产生,用于直流电机的控制-Microcontroller-based pwm wave generation for DC motor control
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-04
    • 文件大小:62889
    • 提供者:lee
  1. PWM

    0下载:
  2. msp430单片机产生PWM波,通过测试,可直接使用-use msp430 produce PWM wave
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:20331
    • 提供者:李天文
« 1 2 ... 6 7 8 9 10 1112 13 14 15 16 ... 50 »
搜珍网 www.dssz.com