CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - ram 读写

搜索资源列表

  1. ExRam

    0下载:
  2. 本程序是访问外部RAM空间,与外部RAM可以实现读写数据当程序比较大时,在仿真时,内部18K的RAM无法满足空间需求的情况下,可以将文件下载到外部扩展的RAM空间,当然,此时,需要对CMD进行相应的配置。 本实验中,请在RamRead(0x4000)这一行设置断点,当运行至断点时,点击view然后点击memory来查看存储空间,Address填写0x00100000,点击ok,就能看到0x00100000开始,各个存储单元的值从0开始递增。-This procedure is to acce
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-29
    • 文件大小:310626
    • 提供者:张良
  1. rea_wri_ram

    0下载:
  2. 用FPGA实现对RAM的读写,实现特定的功能-FPGA implementation of the RAM with read and write, to achieve a specific function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1630
    • 提供者:孙倩华
  1. 1

    0下载:
  2. 单片机与pc串口通讯,数码管通过74hc595驱动,读写外部RAM-Microcontroller serial communication with the pc, digital tube through 74hc595 drive, read and write external RAM
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:17436
    • 提供者:fred
  1. i2c_test

    0下载:
  2. 实现了i2c在AMBA总线的读写测试。mak.bat之后生成ram读入总线-Achieved in the AMBA bus i2c and read write tests. mak.bat generate ram
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:117957
    • 提供者:李乐乐
  1. EXRAM

    0下载:
  2. 5402DSP外扩RAM的读写程序,外扩ram为64k-5402DSP literacy program outside the expansion RAM
  3. 所属分类:DSP program

    • 发布日期:2017-04-04
    • 文件大小:48923
    • 提供者:石旭
  1. fh_ram_s_w_r_16_512

    0下载:
  2. 单口串行可读写16x512的ram的verilog源代码-singal serial writeable and readable 16x512 ram
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1466
    • 提供者:liuzhe
  1. 93c46

    0下载:
  2. 93C46系列EEPROM读写程序,方便单片机,RAM编程-93C46 series EEPROM read and write procedures to facilitate the microcontroller, RAM programming
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:792
    • 提供者:wangyao
  1. FM24cl04

    0下载:
  2. 使用硬件I2C对铁电存储器进行操作,利用中断方式操作。 向FM24CL04中0x03地址写入100字节数据,并检验,如果检验成功则蜂鸣器响一下,否则不断报警 铁电FM24CL04,封装和普通的E2PROM一致,读写方式和E2PROM均采用I2C总线,和E2PROM不同的是 铁电存储器可以当成是RAM来使用,可以说是串行的RAM,可以随机的读写-Use hardware I2C operation of ferroelectric memory, the use of i
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:33769
    • 提供者:洪振斌
  1. ram_sp_sr_sw

    0下载:
  2. 同步读/写 RAM,使用systemverilog实现-Synchronous read write RAM, using systemverilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:720
    • 提供者:张三
  1. RAM_test

    0下载:
  2. ADSPTS201相关程序,总线方式、DMA方式读写片外SDRM和FPGA内部RAM数据 -ADSPTS201 procedures, the bus mode, DMA mode and the FPGA to read and write chip internal RAM data SDRM
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:737
    • 提供者:litao
  1. ExRam

    0下载:
  2. TMS320LF2812访问外部RAM空间,与外部RAM可以实现读写数据,很实用的例程代码-TMS320LF2812 access to external RAM space, and external RAM can read and write data, the code is very useful routine
  3. 所属分类:DSP program

    • 发布日期:2017-04-03
    • 文件大小:110715
    • 提供者:zhangjie
  1. I2C

    0下载:
  2. 单片微机的P1.0(SCL)和P1.1(SDA)两根I/O口线构成虚拟I2C总线,扩展串行EEPROM芯片24C02。对扩展的串行数据存储器24C02进行自检,若每一单元读/写都正确,则把片内RAM中20H单元内容清0,否则置20H内容为FFH。-80C51 single-chip microcomputer using P1.0 (SCL) and P1.1 (SDA) two I/O port lines constitute a virtual I2C bus, extended seri
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:870
    • 提供者:huang
  1. doublefloat_RAM

    0下载:
  2. 使用verilog编写的一个双浮点RAM,支持对字、字节、半字、双字的读写,包含testbench和wave.do文件-Use verilog to implement a double float RAM, supporting the read and write of halfword,byte,word,double word. It includes the testbench and wave.do
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:1889
    • 提供者:WYaode
  1. ram

    0下载:
  2. FPGA实现可读可写的256字节的ram。-FPGA Implementation of read-write 256 bytes of ram.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:361392
    • 提供者:HuoYoca
  1. Memorytest

    0下载:
  2. 三星2440的RAM测试程序,可以实现对RAM的读写-arm2440,ram read and write
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-05-13
    • 文件大小:3313781
    • 提供者:hzh
  1. DS1305

    0下载:
  2. 这是DS1305汇编程序,功能:本程序主要是读DS1305的日历时钟值(共7个字节)、置DS1305的日历时钟值、读DS1305的RAM区特定单元(共96个字节)的内容和写DS1305的RAM区特定单元。本程序中对DS1305 RAM区的读写均为16个字节。-This is the DS1305 assembler function: This program is mainly read DS1305 calendar clock values ​ ​ (7 bytes),
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:1248
    • 提供者:AREAM
  1. danpianji

    0下载:
  2. 片外数据存储器读写,对片外RAM进行读写,并比较读写结果是否一致。-Off-chip data memory read and write, read and write on-chip RAM, and compare the read and write the results are the same.
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:2203
    • 提供者:栾晓菲
  1. FIFO-verilog

    0下载:
  2. 本实验完成的是8位异步FIFO的设计,其中写时钟100MHz,读时钟为5MHz,其中RAM的深度为256。当写时钟脉冲上升沿到来时,判断写信号是有效,则写一个八位数据到RAM中;当读时钟脉冲上升沿到来时,判断读信号是有效,则从RAM中把一个八位数据读出来。当RAM中数据写满时产生一个满标志,不能再往RAM再写数据;当RAM中数据读空时产生一个空标志,不能再从RAM读出数据。-In this study, completed the 8-bit asynchronous FIFO design,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:334206
    • 提供者:肖波
  1. ram_fifo

    0下载:
  2. Altera RAM FIFOIP核,实现对FIFO的读写,对满信号和空信号进行判断.-altera ram fifo ip core
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:3232812
    • 提供者:xuguo
  1. F2812_ExRam

    0下载:
  2. F2812 扩展外部RAM实现读写数据(CCS3.3开发环境) 功 能:访问外部RAM空间,与外部RAM可以实现读写数据 说 明:本实验中,请在RamRead(0x4000)这一行设置断点,当运行至断点时,点击view然后点击memory来查看存储空间,Address填写0x00100000,点击ok,就能看到从0x00100000开始,各个存储单元的值从0开始递增。-F2812 to achieve extended external RAM read and write data (CCS3
  3. 所属分类:DSP program

    • 发布日期:2017-04-05
    • 文件大小:89427
    • 提供者:奋斗不止
« 1 2 3 4 5 6 78 9 10 11 »
搜珍网 www.dssz.com