CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - sequence detector

搜索资源列表

  1. VHDL

    0下载:
  2. 序列检测器设计VHDL源程序 任意输入串行数据串-VHDL source code sequence detector design arbitrary string of serial data input
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:732
    • 提供者:terry
  1. ram

    0下载:
  2. vhdl program for random access memory and sequence detector
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1029
    • 提供者:swap
  1. vhdl

    0下载:
  2. 检测一组或多组又二进制码组成的脉冲序列信号,当序列检测器连续收到一组或多组序列信号,如果与预先设置的码相同的时候,输出1,否则输出0. -Detection of one or more group was composed of binary code pulse train signal, when the sequence detector continuous sequence of one or more groups received signal, if the same co
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:125181
    • 提供者:venny
  1. serial_check

    0下载:
  2. 本实验需要实现一个序列检测器,用来检测输入的串行位流是否和程序设定的位串相一致,若一致则在验证波形的出现一个高电位来表示。本实验需要验证的位串是“101011”。-In this study, need to implement a sequence detector, to detect whether the input serial bit stream and procedures consistent set of bit strings, if the same occurs in
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:50346
    • 提供者:张洁
  1. sequence_detector

    0下载:
  2. verilog progam on sequence detector
  3. 所属分类:Algorithm

    • 发布日期:2017-04-06
    • 文件大小:222775
    • 提供者:narendra
  1. Seqcheck

    0下载:
  2. 用VHDL编写的序列检测器,是完整工程。-Written by VHDL sequence detector is a complete project.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:90842
    • 提供者:
  1. text

    0下载:
  2. 序列检测器可用于检测一组或多组由二进制码组成的脉冲序列信号。本系统用状态机来实现序列(1110010)的序列检测器的设计,若系统检测到串行序列 1110010 则输出为 1 ,否则输出为 0 ,并对其进行波形和功能仿真。-Sequence detection can be used to detect one or more groups formed by the binary code pulse train signal. The system implemented by the st
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:79592
    • 提供者:小白
  1. alu_sequence_detector_1101

    0下载:
  2. It is verilog based implementation of ALU and sequence detector for detecting sequence 1101
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-11
    • 文件大小:1077
    • 提供者:urvish
  1. ztj

    0下载:
  2. 摩尔状态机检验程序,序列检测器,1100101检测-Moore state machine testing procedures, the sequence detector, 1100101 test
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:262850
    • 提供者:xuefj
  1. seqbet

    0下载:
  2. 10011序列检测器,verilog语言编写的检测器-10011 sequence detector
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-23
    • 文件大小:109179
    • 提供者:gaochunjia
  1. spuc2.3.1

    0下载:
  2. Readme Complex class is very similar to the Standard C++ library complex class and the standard C++ class could be substituted in many cases. Code is wrapped in namespace SPUC SPUC DSP C++ source code library directory structure array -
  3. 所属分类:DSP program

    • 发布日期:2017-03-28
    • 文件大小:428648
    • 提供者:Prabhat
  1. seqdet

    0下载:
  2. 用VERILOG 语言进行的序列检测器设计,初学者多用于练习。-Sequence detector design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:25623
    • 提供者:zhangxina
  1. VHDL_design

    0下载:
  2. 以VHDL设计一有限状态机构成的序列检测器。序列检测器是用来检测一组或多组序列信号的电路,要求当检测器连续收到一组串行码(如1110010)后,输出为1,否则输出为0。-With VHDL Design into a finite state machine sequence detector. Sequence detector is used to detect the signal sequence of one or more groups of circuits, require th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:47375
    • 提供者:陈倩
  1. zhuangtaiji

    0下载:
  2. 用状态机实现序列检测器的设计,并对其进行仿真和硬件测试。-With the sequence detector state machine design, and its simulation and hardware testing.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:11217
    • 提供者:shangyan
  1. machine-design-

    0下载:
  2. 状态机实现序列检测器的设计,了解一般状态机的设计与应用-State machine to implement sequence detector design, understand the general state machine design and application
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:73928
    • 提供者:李月兰
  1. vhdl

    0下载:
  2. VHDL实验 序列检测器的设计与实现-Design and Implementation of VHDL experimental sequence detector
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:544
    • 提供者:天行者
  1. State_Machine

    0下载:
  2. 状态机的VHDL实现,在quartus-ii7.2上测试通过,文件包括米利状态机,摩尔状态机,ADC0809的状态机实现,序列检测器和定时去毛刺的状态机实现。-State machine code in VHDL,successfully tested in quartus-ii7.2,the file contains mealy state machine,moore state machine,ADC 0809 and sequence detector achieved in state
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1551028
    • 提供者:baoguocheng
  1. Lab17_seq_detect

    1下载:
  2. 一个序列检测器,在时钟的每个下降沿检查数据。当检测到输入序列 din 中出现 1101 或 0110时,输出 flag 为 1,否则输出为 0。 (1)当cs = 1,wr 信号由低变高(上升沿)时,din 上的数据将写入由 addr 所指定的存储单元 (2)当cs = 1,rd = 0时,由 addr 所指定的存储单元的内容将从 dout 的数据线上输出。 -A sequence detector, check the data in each clock falling edge. Wh
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:1506
    • 提供者:辛璃
  1. Sequence_detector

    0下载:
  2. sequence detector in verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:115708
    • 提供者:Senthil
  1. schk

    0下载:
  2. 用状态机实现序列检测器的设计,熟悉用状态机设计各种序列检测器的思路和方法-Sequence detector state machine design, familiar with the ideas and methods of the various sequence detector state machine design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:416069
    • 提供者:沈桑霞
« 1 2 3 45 6 7 8 9 »
搜珍网 www.dssz.com