CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - sigma delta

搜索资源列表

  1. cicmodule

    3下载:
  2. 一个3阶的CIC内插滤波器,可作为delta sigma DAC升采样率模块,用于半带滤波器后。-a three bands CIC interpolation filter, as delta sigma DAC sampling rate or module for the half-band filter.
  3. 所属分类:其它

    • 发布日期:2014-01-15
    • 文件大小:915
    • 提供者:老杜
  1. 262-45526-LTC2453

    0下载:
  2. Easy-to-Use, Ultra-Tiny, Differential, 16-Bit Delta Sigma ADC With I2C Interface The LTC2453 is an ultra-tiny, fully differential, 16-bit, analog-to-digital converter. The LTC2453 uses a single 2.7V to 5.5V supply and communicates through an I2C i
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:273985
    • 提供者:Lee Ka Wing
  1. FPGA-based-DAC

    0下载:
  2. 用fpga实现的DA转换器,有说明和源码,VDHL文件。 A PLD Based Delta-Sigma DAC Delta-Sigma modulation is the simple, yet powerful, technique responsible for the extraordinary performance and low cost of today s audio CD players. The simplest Delta-Sigma DAC cons
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:58756
    • 提供者:开心
  1. LabVIEW CIC Filter for 1bit PDM

    5下载:
  2. LabVIEW編寫之多階 Cascaded Integrator Comb Decimation Filter, 用於解調1bit PDM 數字資料流, 還原成時域波形, 程式一併產生頻域波形及SNR, THD分析. 1bit PDM 數字流常由Delta-Sigma ADC產生, MEMS數字麥克風亦輸出此格式數字流. 程式版本: LabVIEW 2009 with S&V module, Digital Filter toolkit.
  3. 所属分类:数学计算/工程计算

    • 发布日期:2010-10-13
    • 文件大小:587134
    • 提供者:kbxkbx
  1. project4.rar

    0下载:
  2. see the simulation of Delta, Delta sigma and Differential pulse code modulation/demodulations,see the simulation of Delta, Delta sigma and Differential pulse code modulation/demodulations
  3. 所属分类:Algorithm

  1. analog-to-digitalconversionofthespecificationsandp

    0下载:
  2. 这份术语表定义了TI公司的delta-sigma、逐次逼近型和流水线模数转换器,并详细说明他们的规格和性能特点。-approximation register (SAR), and pipeline analog-to-digital (A/D) Converter specifications and performance characteristics. Although there is a considerable amount of detail in this docume
  3. 所属分类:Embeded Linux

    • 发布日期:2017-04-09
    • 文件大小:1692359
    • 提供者:千里
  1. deltasigmaconverter

    0下载:
  2. this code for delta to sigma converter-this is code for delta to sigma converter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1902
    • 提供者:rakhi
  1. delsig

    0下载:
  2. AD中用于调制解调的delta sigma一阶调制器-AD used for modulation and demodulation of the first order delta sigma modulator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:701
    • 提供者:josh
  1. PCM1780

    0下载:
  2. pcm1780 24-Bit, 192-kHz Sampling, Enhanced Multilevel, Delta-Sigma, Audio Digital-to-Analog Converter
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-16
    • 文件大小:289173
    • 提供者:dj
  1. Untitled2

    1下载:
  2. verify the 1st-order delta-sigma modulation by sampling the sinusoidal wave of cos 2πt + 4cos 6πt , assuming an oversampling factor of 16
  3. 所属分类:Modem program

    • 发布日期:2017-04-11
    • 文件大小:643
    • 提供者:mina
  1. delsig

    0下载:
  2. 做delta-sigma adc and dac 的工具箱-a tool box of delta-sigma adc and dac design
  3. 所属分类:matlab

    • 发布日期:2017-04-07
    • 文件大小:757039
    • 提供者:shumon
  1. delsig

    0下载:
  2. delta sigma AD转换模型的matlab工具箱,包含调制,滤波,计算信噪比等多多方面内容,非常全面。用之进行相关研究,事半功倍。-delta sigma is the AD conversion models matlab toolbox, including modulation, filtering, calculation of signal to noise ratio, etc. a lot of aspects, very comprehensive. Used more
  3. 所属分类:matlab

    • 发布日期:2017-04-10
    • 文件大小:1041383
    • 提供者:温金鑫
  1. SDtoolbox

    0下载:
  2. delta-sigma 设计工具箱,可以进行调制器设计,数字滤波器设计等-design-box of delta-sigma ADC
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:773473
    • 提供者:张永来
  1. Verilog-Code

    1下载:
  2. Verilog source code by James Patchell: - Delta Sigma Modulator for doing Digital->Analog Conversion - Aquad-bquad phase detector - Uart Reciever - Uart Transmitter - One shot
  3. 所属分类:Other systems

    • 发布日期:2017-04-01
    • 文件大小:6853
    • 提供者:happyuser
  1. Sim5th

    1下载:
  2. 5阶delta-sigma Matlab仿真-Fifth-order delta-sigma Matlab simulation
  3. 所属分类:matlab

    • 发布日期:2017-12-07
    • 文件大小:1306
    • 提供者:小许
  1. delta-sigma-DAC

    0下载:
  2. 根据FPGA的∑-Δ D/A转换器的设计与实现策略,∑-Δ DAC的内部仅由2个10位的二进制加法器,1个10位的锁存器和一个D触发器组成,用FPGA实现时只需耗费极少的逻辑资源,即使用最小的FPGA也能实现。这是∑-Δ DAC实现的verilog语言-According to the FPGA Σ-Δ D/A converter design and implementation strategies, Σ-Δ DAC' s internal only by the two 10-bit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1333600
    • 提供者:王凌
  1. SD_DEM

    1下载:
  2. 过采样数据转换器的量化和解调过程的建模与计算(Modeling and calculation of quantization and demodulation process for over sampled data converters)
  3. 所属分类:其他

    • 发布日期:2018-05-02
    • 文件大小:12288
    • 提供者:小明与小明
  1. ATT7022E26E、HT703836

    1下载:
  2. ATT7022E/26E、HT7038/36系列多功能、高性能三相电能专用计量芯片,内部集成了高精度二阶Sigma-delta ADC、参考电压电路以及数字信号处理等电路,能够测量各相以及合相的有功功率、无功功率、视在功率、有功能量及无功能量,同时还能测量各相电流、电压有效值、功率因数、相角、频率等参数,由于所有参数都是内部算法电路直接进行运算的结果,从而充分保证了参数的精度与可靠性。该系列芯片为三相多功能计量提供了功能齐全、设计简单的应用解决方案,可充分满足三相复费率多功能电能表的设计需求。(
  3. 所属分类:单片机开发

    • 发布日期:2020-06-05
    • 文件大小:1311744
    • 提供者:viver
  1. 瑞奇达CS5210HDMI to VGA方案

    0下载:
  2. CS5210概述 Capstone CS5210 HDMI到VGA转换器结合了HDMI输入接口和模拟RGB DAC输出。支持内部LDO,节省成本,优化电路板空间。嵌入式单片机基于工业标准8051内核。 CS5210适用于各种市场系统和显示应用程序,如笔记本电脑、主板、台式机、转换和对接系统。 参数特性 总则 HDMI 1.4兼容接收机 VGA输出接口,DAC速度高达~200 MHz像素速率,8位 视频分辨率支持高达1920x1200@60以及1920X108
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2021-07-16
    • 文件大小:920322
    • 提供者:TEL13699758578
  1. 瑞奇达CS5213|HDMI转VGA方案|CS5213规格书

    0下载:
  2. 1.CS5213介绍 Capstone CS5213 HDMI到VGA转换器结合了HDMI输入接口和模拟RGBDAC输出。带支持片上音频数模转换器,节省成本,优化电路板空间。嵌入式单片机基于工业标准8051内核。 CS5213适用于多个细分市场和显示应用,如笔记本电脑、主板、台式机、转换和对接系统。 Capstone CS5213 HDMI到VGA转换器结合了HDMI输入接口和模拟RGBDAC输出。带支持片上音频数模转换器,节省成本,优化电路板空间。嵌入式单片机基于工业标准8051内核。
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2021-07-19
    • 文件大小:296830
    • 提供者:TEL13699758578
« 1 2 3 4 5 6 78 »
搜珍网 www.dssz.com