CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - spi project

搜索资源列表

  1. spi_slave_lattice

    0下载:
  2. 这是基于lattice fpga 做的spi slave模块。简单易懂,适合初学者。代码使用状态机描述。整个工程在diamond2.0版本编译运行。-This is based on lattice fpga do spi slave module. Easy to understand for beginners. The code using a state machine descr iption. The whole project is run diamond2.0 version o
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1268453
    • 提供者:xie
  1. GD32190R_SPI

    0下载:
  2. GD32F150/190单片机SPI工程,含完整固件库,MDK工程-GD32F150/190 MCU SPI Application project, include library source code, MDK project.
  3. 所属分类:SCM

    • 发布日期:2017-05-25
    • 文件大小:7728756
    • 提供者:gxliu
  1. STM32F4_SPI_Send-_Receive-Data-Using-DMA

    0下载:
  2. This project describes STM32F4 microcontroller communicates with other STM32 microcontrollers using SPI protocol using DMA. The Compiler used is MDK-ARM V5
  3. 所属分类:Other Embeded program

    • 发布日期:2017-05-18
    • 文件大小:5073287
    • 提供者:des
  1. w5100

    0下载:
  2. SPI 高速网卡驱动,已在项目上调试OK-SPI high-speed network card driver has been debugging OK on the project
  3. 所属分类:SCM

    • 发布日期:2017-05-04
    • 文件大小:12731
    • 提供者:David
  1. adc_dac

    0下载:
  2. ADC-DAC transmittion works thru SPI on 25 MHZ. Used for some student project on Xilinx sprtan3a FPGA
  3. 所属分类:Other systems

    • 发布日期:2017-04-13
    • 文件大小:1751
    • 提供者:anarky92
  1. stm8l052

    0下载:
  2. STM8L利用硬件SPI驱动外部flash w25q64,使用IAR编译环境,为整个工程文件,调试通过。-STM8L use external hardware flash drive w25q64 SPI, the use of IAR compiler environment for the entire project document, debugging through.
  3. 所属分类:SCM

    • 发布日期:2017-06-02
    • 文件大小:14491236
    • 提供者:fd
  1. spi_verilog_master_slave_latest.tar

    0下载:
  2. 该项目从需要具有强大而简单的以VHDL编写的SPI接口核心开始,用于通用的FPGA到设备接口。 所产生的内核产生小而高效的电路,从非常慢的SPI时钟到超过50MHz的SPI时钟。-This project started the need to have robust yet simple SPI interface cores written in VHDL to use in generic FPGA-to-device interfacing. The resulting co
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3561
    • 提供者:asdtgg
  1. PIC18F242-SIN

    0下载:
  2. This rar file archive contains the MPLAB project and proteus project for PIC18f242, connected to virtual terminal (used USART) and EEPROM 25AA040 (used SPI). USART: 8 bit data in packet, 1 stop-bit, without parity bit, frequency 9600 b
  3. 所属分类:assembly language

    • 发布日期:2017-05-05
    • 文件大小:51290
    • 提供者:evjen20
  1. SPI_TO_USART

    0下载:
  2. SPI to UART convert project for STM32F100 microcontroller
  3. 所属分类:Other Embeded program

    • 发布日期:2017-05-05
    • 文件大小:227946
    • 提供者:Alex
  1. tinycpufiles

    0下载:
  2. TinyCPU源码,使用Verilog编写的资源占用极少的CPU。Quartus工程,可跑在Altera MAXII CPLD上,也很方便移植到其他FPGA上。CPU使用200个逻辑单元,外设(SPI,LCD等)使用180个逻辑单元。 内含汇编编译器源码(VC2008),可编译CPU对应的汇编文件。-The sourcecode of TinyCPU, which only consumed very few logical cells, written by Verilog. It is
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-11
    • 文件大小:60612
    • 提供者:肖海云
  1. laysred-DLL-example

    0下载:
  2. Winsock 2 分层服务提供者示例,存放SPI封包截获的DLL工程,-Winsock 2 layered service provider example, deposit SPI packets intercepted DLL project,
  3. 所属分类:Other systems

    • 发布日期:2017-12-19
    • 文件大小:4096
    • 提供者:voy@981858
  1. io2spi

    0下载:
  2. 因工程需要,实现IO口模式3线制SPI;片选、时钟和MOSI三个IO口-Due to the project needs to achieve IO port mode 3-wire SPI chip select, clock and MOSI three IO port
  3. 所属分类:SCM

    • 发布日期:2017-12-19
    • 文件大小:1024
    • 提供者:zhang
  1. SPI_FLASH.X.X

    0下载:
  2. MAPLAB工程文件,可实现SPI接口与FLASH的读写控制,基本功能已经配置好,可自行添加其他逻辑。-MAPLAB project file, can achieve SPI interface and FLASH read and write control, the basic function has been configured, you can add other logic.
  3. 所属分类:SCM

    • 发布日期:2017-12-12
    • 文件大小:91849
    • 提供者:兰大师
  1. paakets_provider_packets

    0下载:
  2. Winsock 2 分层服务提供者示例,存放SPI封包截获的DLL工程,(Winsock 2 layered service provider example, deposit SPI packets intercepted DLL project,)
  3. 所属分类:系统编程

    • 发布日期:2017-12-24
    • 文件大小:3072
    • 提供者:zrsbxocessgr
  1. chwjtrefer

    0下载:
  2. Winsock 2 分层服务提供者示例,存放SPI封包截获的DLL工程,(Winsock 2 layered service provider example, deposit SPI packets intercepted DLL project,)
  3. 所属分类:其他小程序

    • 发布日期:2017-12-26
    • 文件大小:3072
    • 提供者:eikky
  1. sdtest

    0下载:
  2. 这个是一个verilog程序,可以用spi读取sd卡中的内容,存到fifo中(This project can read the data from SD card through SPI interface and store the data in FIFO.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-29
    • 文件大小:13569024
    • 提供者:jyc
  1. NFC

    1下载:
  2. RC522实现程序,SPI接口,项目中实测(RC522 to achieve procedures, SPI interface, the project measured)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2018-01-02
    • 文件大小:17408
    • 提供者:skylsk
  1. STM32F0XX教程+例程源码

    4下载:
  2. STM32F0XX教程+例程源码 教程目录: 工程篇:搭建KEIL-MDK开发平台及制作MDK工程文件模板.pdf 资料篇-NUCLEO-072RB开发板特性讲解及资料准备.pdf 初级教程篇:按键(中断方式)实现24C04存储器内容读写.pdf 初级教程篇:实现一个LED灯(软件延时 systick定时器).pdf 中级教程篇:利用SPI总线构建NUCLEO-072RB和NUCLEO-302RB双机处理系统.pdf 中级教程篇:利用定时器实现6路互补PWM波形输出.pdf 高级教程篇:基于L
  3. 所属分类:微处理器开发

    • 发布日期:2019-09-18
    • 文件大小:20690944
    • 提供者:Putty
  1. SLockV1.02

    1下载:
  2. MKL16Z128 例程,含串口,SPI, 触摸按键,通用输入输出等等(Kinetis MKL16Z128 Examples : Low-Power UART Communication,SPI, Low-power hardware touch sensor interface (TSI),GPIO,RCC and A Debug Port On Uart .This project Has been verified)
  3. 所属分类:嵌入式/单片机/硬件编程

    • 发布日期:2019-03-27
    • 文件大小:7013376
    • 提供者:阿杰0
  1. ucosii控制系统程序

    1下载:
  2. STM32F103VCT6的ucosii工程,包括CAN,UART,IIC,SPI,步进电机的S型加减速(UCOSII project of STM32F103VCT6, including S-type acceleration and deceleration of can, UART, IIC, SPI and stepping motor)
  3. 所属分类:单片机开发

    • 发布日期:2020-12-08
    • 文件大小:9242624
    • 提供者:滴滴清水
« 1 2 ... 4 5 6 7 8 910 »
搜珍网 www.dssz.com