CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - testbench vhdl

搜索资源列表

  1. hamming

    0下载:
  2. 32位海明码编码解码的vhdl代码,有testbench验证。-32 Hamming code encoding and decoding of vhdl code, testbench verification.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:143531
    • 提供者:wangyingstu
  1. mcu8051

    0下载:
  2. 一个实现MCU51处理器的完整VHDL源代码,包含testbench-An implementation MCU51 processor complete VHDL source code, including testbench
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:221608
    • 提供者:Chen Haijun
  1. ise_c8051

    0下载:
  2. r8051(c8051)IP源码,使用VHDL编写。整个工程通过ISE13.2实现,附带完整testbench,并实例化了rom和ram,可以运行c代码。工程内包含modelsim的仿真脚本,可以观测程序运行时的内部硬件工作情况。-r8051 (c8051) IP source code, the use of VHDL. The whole project is realized by ISE13.2, with complete testbench, and examples of the
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-05-20
    • 文件大小:6135627
    • 提供者:woody.wu
  1. simu01

    0下载:
  2. spartan 3 series ADC vhdl code testbench
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:6016
    • 提供者:onur
  1. SPI-Core_nguyen

    1下载:
  2. SPI Master Core HDL: VHDL 93 Compatibility: all FPGAs, CPLDs parameterization: - variable data width - Phase/polarity configurable - selectable buffer depth - serial clock devision due to system clock package usage: IEEE
  3. 所属分类:assembly language

    • 发布日期:2017-04-04
    • 文件大小:17918
    • 提供者:AgentNguyex
  1. 1.2Register-VHDL-and-testbench

    0下载:
  2. 用d type flip flop 改成的n bit 的寄存器,分别用到了同步和异步2种方式-With d type flip flop into the n bit registers were used in the synchronous and asynchronous 2 ways
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:55573
    • 提供者:young
  1. seq_detector

    0下载:
  2. 3比特的任意二值序列检测器(例如101、110、001等)。从任意序列中检测出三比特的序列。包含VHDL源码以及testbench测试源码程序。-The 3-bit binary sequence of any detector (e.g., 101,110,001, etc.). A three-bit sequence is detected from an arbitrary sequence. Includes VHDL source code and testbench test so
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1476
    • 提供者:10086
  1. all-pole_filters_latest.tar

    0下载:
  2. All polar vector and its vhdl code with testbench
  3. 所属分类:VHDL-FPGA-Verilog

  1. Arbitrary-_odd_-frequency_VHDL_code

    0下载:
  2. 任意奇数分频的VHDL代码和testbench测试VHDL代码,经过ISE的ISim仿真工具测试,模块功能准确有效,特此分享!-Arbitrary odd frequency of VHDL code and test VHDL testbench code, after the ISE ISim simulation tool to test module functions accurately and effectively, would like to share!
  3. 所属分类:Other systems

    • 发布日期:2017-04-13
    • 文件大小:1657
    • 提供者:杨光
  1. 06168353

    0下载:
  2. The Fast Fourier Transform (FFT) is one of the rudimentary operations in field of digital signal and image processing. Some of the very vital applications of the fast fourier transform include Signal analysis, Sound filtering, Data compressio
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-04-25
    • 文件大小:305501
    • 提供者:doggaravi
  1. half_adder

    0下载:
  2. 半加器的VHDL实现,包括Testbench的编写,可供新手参考-Half Adder VHDL Testbench
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:986761
    • 提供者:Qiushi
  1. ic74f539

    0下载:
  2. ic74f539芯片的VHDL实现,包含Testbench编写,可供新手参考。-ic74f539 VHDL Testbench
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:594838
    • 提供者:Qiushi
  1. ic74hc574

    0下载:
  2. ic74hc574芯片VHDL功能实现,包括Testbench编写,可供新手参考。-ic74hc574 VHDL Testbench
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:699688
    • 提供者:Qiushi
  1. Multiplier

    0下载:
  2. 我是2014级复旦的研究生。这是用VHDL语言设计的任意的M乘以N位的乘法器。设计中,被除数和乘数的位数是通过参数来设置的,可由你来修改。我已写好了testbench。可放心使用。-I am a 2014 graduate of Fudan University. This is an arbitrary M VHDL language designed by N-bit multiplier. Design, the dividend and the median multiplier is
  3. 所属分类:Other systems

    • 发布日期:2017-04-17
    • 文件大小:170379
    • 提供者:ljt
  1. TEXIO

    0下载:
  2. TEXIO study testbench passed VHDL FPGA CPLD simulation Altera quartus
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:53739
    • 提供者:寒雪亮
  1. testbench_top_level.vhd

    0下载:
  2. testbench for top level, vhdl, audio synthesizer, top level
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1078
    • 提供者:aabdelwa
  1. CAVLE-h264

    0下载:
  2. 本压缩文件包含了h.264压缩算法中的CAVLE的编解码模块(Verilog和VHDL两个版本),包含有仿真的testbench测试文件,综合后可以直接使用-The compressed file contains the h.264 compression algorithm CAVLE codec module (Verilog and VHDL both versions), including a simulation testbench test file, can be used d
  3. 所属分类:Compress-Decompress algrithms

    • 发布日期:2017-05-03
    • 文件大小:604468
    • 提供者:zhanglong
  1. DIVIDER

    0下载:
  2. 大家好,我是复旦大学的研究生。本资源是一个基于VHDL语言的M位除以N位的除法器。其中M/N ,商M位,余数是N位的。以Moim设计验证和验证。压缩包里有除法器的源文件和testbench。可加入工程,直接测试。鄙人测试都是无错误的。愿尊驾下载后,积极评价,以便于相互交流,学习。O(∩_∩)O谢谢.2015年5月7日于芬兰,图尔库。-Hello everyone, I am a graduate student at Fudan University. This resource is base
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1892
    • 提供者:ljt
  1. VHDL_Multiplier

    1下载:
  2. 三种 VHDL 实现乘法器的方法,可以用于学习FPGA的时序、组合电路,同时附带了 TestBench 程序-Three kinds of methods to achieve multiplier in VHDL, with TestBench
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:5087
    • 提供者:李成
  1. 串并转换

    0下载:
  2. vhdl实现串并转换,其中附有源程序和testbench程序,可以用modelsim仿真
  3. 所属分类:其它

« 1 2 ... 5 6 7 8 9 1011 »
搜珍网 www.dssz.com