CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - vhdl 正弦

搜索资源列表

  1. ddfs

    0下载:
  2. 我自己用vhdl实现编的dds,能实现正弦波,方波,三角波。-my own use VHDL to achieve series dds, able sine, square, triangle wave.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:87754
    • 提供者:黎明
  1. FPGA_LMS

    1下载:
  2. VHDL写的LMS算法程序。利用本地正弦信号,根据LMS算法对输入信号进行跟踪。用以产生和输入信号同频同相的本地信号。-VHDL LMS algorithm written procedures. The use of local sinusoidal signal, according to the LMS algorithm for tracking the input signal. Used to produce and the input signal with frequency p
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:271104
    • 提供者:黄鹤
  1. sinmdlmatlab

    0下载:
  2. 正弦波在dspbuilder下产生VHDL源码及其测试激励文件的matlab模型,在modelsim下仿真通过-sine wave in dspbuilder under VHDL source code and test incentives document matl ab model, the simulation under through modelsim
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:6169
    • 提供者:zqh
  1. wave_genarator_vhdl

    0下载:
  2. vhdl波形发生程序.实现4种常见波形正弦、三角、锯齿、方波(A、B)的频率、幅度可控输出(方波 A的占空比也是可控的),可以存储任意波形特征数据并能重现该波形,还可完成 各种波形的线形叠加输出。 -vhdl waveform occurred procedures. 4 achieve common sinusoidal waveform, 1.30, sawtooth, square-wave (A, B) the frequency and amplitude control
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:10310
    • 提供者:江汉
  1. DDSforsinandcos

    0下载:
  2. 用VHDL实现的DDS,可输出正弦、余弦波形。将所有文件放在一个工程文件里,再分别生存模块,按原理图连接及可-using VHDL DDS, output sine, cosine wave. All documents will be placed on a project document, respectively survival module, according to diagram and can link
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:7432
    • 提供者:何明均
  1. mif

    0下载:
  2. 使用metlab生产正弦波和三角波的采样值,供vhdl等语言调用来产生波形-use metlab production sine wave and triangular wave of sampling, for languages such as call vhdl to generate waveforms
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1005
    • 提供者:feng
  1. DDS_sin

    1下载:
  2. 用VHDL语言实现DDS直接数字频率合成器的设计,采用正弦RAM表,可实现频率可控的正弦数字信号,编译、仿真通过。-VHDL DDS Direct Digital Frequency Synthesizer Design using sinusoidal RAM table achieve controllable frequency sinusoidal digital signal, compile, through simulation.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:8747
    • 提供者:sarahyu
  1. box

    0下载:
  2. 用vhdl语言编写的基于fpga的波形发生器,使用了quartusII程序。可以在1602液晶显示器上显示目前的波形种类。产生的波形分别是正弦波,三角波,锯齿波和方波。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1707806
    • 提供者:zhg
  1. AD9851

    0下载:
  2. 用VHDL语言编写的DDS正弦函数发生器
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:500820
    • 提供者:cfsword
  1. sine

    0下载:
  2. 用verilog语言编的正弦波发生器,可以用QuartusII来打开这个源码,也可以转换成VHDL语言
  3. 所属分类:汇编语言

    • 发布日期:2014-01-16
    • 文件大小:104887
    • 提供者:雨孩
  1. expt71_singt

    0下载:
  2. 基于fpga和sopc的用VHDL语言编写的EDA正弦信号发生器
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:36849
    • 提供者:多幅撒
  1. mfsk

    0下载:
  2. vhdl mfsk 多进制数字频率调制(MFSK)也称多元调频或多频制。MFSK系统是 2FSK(二频键控)系统的推广,该系统有 M个 不同的载波频率可供选择.每一个载波频率对应一个 M进制码 元信息,即用多个频率不同的正弦波分别代表不同的数字信号,在某一码元时间内只发送其中一个频率。
  3. 所属分类:邮电通讯系统

    • 发布日期:2008-10-13
    • 文件大小:1105
    • 提供者:mzizai
  1. Verilog-hdlFPGA

    0下载:
  2. 关于FPGA的提高篇,Verilog HDL语言写的, 包含LCD控制VHDL程序与仿真,AD/DA,MASK,FSK,PSK,正弦波发生器,等等经典程序-Articles on improving the FPGA, Verilog HDL language, and includes LCD control procedures and VHDL simulation, AD/DA, MASK, FSK, PSK, sine wave generator, and so the classi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1181353
    • 提供者:chenfeihu
  1. signal-generator-base-on-vhdl

    0下载:
  2. 实现正弦波输出,可以调节输出频率,具有led显示功能-Achieve sine wave output, output frequency can be adjusted, with led display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:401385
    • 提供者:杨某
  1. signal-generator

    0下载:
  2. 基于VHDL的函数信号发生器【正弦波、三角波、锯齿波、方波】-signal generator【VHDL】
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:720787
    • 提供者:gaoyuanli
  1. VHDL-node

    0下载:
  2. VHDL的一些实验代码,其中有4位可逆计数器,4位可逆二进制代码-格雷码转换器设计、序列检测器的设计、基于ROM的正弦波发生器的设计、数字密码锁的设计与实现-Some experiments of VHDL code, which has four reversible counters, four reversible binary code- Gray code converter design, sequence detection Design, ROM-based sine wav
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:48941
    • 提供者:张联合
  1. vhdl

    0下载:
  2. VHDL实验报告 基于ROM的正弦波发生器的设计-VHDL experiment reports the ROM-based sine wave generator design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:2133
    • 提供者:天行者
  1. sine-function-generator-by-VHDL

    0下载:
  2. 1、本实验模拟正弦函数发生器 2、使用逻辑分析仪查看波形 3、/proj/simulation目录中可以在modelsim中仿真-1, this experiment simulated sine function generator 2, the use of logic analyzer to check waveform 3,/proj/simulation directory in the modelsim simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-12
    • 文件大小:27080859
    • 提供者:朱岩
  1. VHDL

    0下载:
  2. 正弦波发生器代码VHDL 其中包括分频 正弦波数据-Sine wave generator VHDL code Divide the sine wave data including
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:6876
    • 提供者:123456789
  1. Signal-Generator-VHDL-design

    0下载:
  2. 信号发生器VHDL设计 波形可选:正弦(sine),方波(sqr),锯齿波(jc_de和jc_in两种),三角波(sanj)和阶梯波(stair)信号模块-Optional waveform signal generator VHDL design: sinusoidal (sine), square wave (sqr), sawtooth (jc_de and jc_in two kinds), triangle wave (sanj) and staircase (stair) sig
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:758623
    • 提供者:
« 1 2 3 4 5 67 8 9 10 11 12 »
搜珍网 www.dssz.com