CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - vhdl can

搜索资源列表

  1. source_code.rar

    1下载:
  2. 一些源程序,主要包括CAN总线驱动、sdram VHDL实现、ucos2的移植、SDIO驱动、tcpip的实现、usb控制器代码、基于FPGA的雷达目标模拟器等,Some source code, including CAN bus driver, sdram VHDL implementation, ucos2 transplant, SDIO drivers, tcpip of implementation, usb controller code, based on the FPGA, s
  3. 所属分类:SCM

    • 发布日期:2017-05-22
    • 文件大小:6898705
    • 提供者:陈磊
  1. mp3decoder.rar

    1下载:
  2. mp3 解码的verilog代码,通过仿真综合及验证,能够播放所有的.mp3文件。压缩包包括所有的verilog源码以及详细的文档。,mp3 decoding Verilog code, the adoption of an integrated simulation and verification, can all play. mp3 file. Compressed packet including all the Verilog source code and detailed docu
  3. 所属分类:mpeg mp3

    • 发布日期:2014-12-28
    • 文件大小:170109
    • 提供者:刘名
  1. par_serial-and-serial_par-VHDL

    0下载:
  2. 并入串出移位寄存器和8路并行输出串行移位寄存器的VHDL代码,经Quartus II 5.1验证可用,String into a shift register and 8-way parallel output serial shift register of the VHDL code, the Quartus II 5.1 can be used to verify
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:1006
    • 提供者:随风
  1. ADC0832_test.rar

    0下载:
  2. ADC0832是一个8-bit的ADC转化芯片,工作频率为250Khz,最大频率可达400Khz,转化通道有两个,输入电压可分有单端或差分形式。本测试使用单端电压输入形式,从昔年的CH0输入电压,使用Xilinx XC3S200AN开发板,并且使用Xilinx ise工具中的ChipScope工具来查看转化后的DO数据是否正确。经验证,输入电压范围是0V--5.5V,当电压达到5.5V时,满刻度.,ADC0832 is an 8-bit conversion of the ADC chip, t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3628113
    • 提供者:zhangjiansen
  1. DIPC1.zip

    0下载:
  2. 5/3小波变换的vhdl代码实现,可以供参考,,5/3 wavelet transform vhdl code, you can for information,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:420553
    • 提供者:徐上进
  1. ltc1196.rar

    0下载:
  2. TLC1196串行AD控制模块,可以实现对电压的信号采集,并以串行的方式传送到FPGA中,TLC1196 Serial AD control module can be achieved on the voltage of the signal acquisition, and serial transmission of the FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1405309
    • 提供者:陈宇
  1. cf_dpsk.rar

    1下载:
  2. 用VHDl语言写的一个DPSK的调制和解调程序,该程序可以实现相对相位调制解调。可以运行在xilinx ISE 或者是QuartusII下。 ,VHDl written in a language with DPSK modulation and demodulation process, the program can be achieved relative phase modulation and demodulation. Can be run on xilinx ISE or Qua
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:745881
    • 提供者:
  1. VHDL语言实现的arm内核

    1下载:
  2. 5个ram核,arm6_verilog,arm7_verilog_1,arm7_VHDL,Core_arm_VHDL,nnARM01_11_1_3 arm6_verilog.rar 一个最简单的arm内核,verilog写的,有点乱 arm7_verilog_1.rar J. Shin用verilog写的arm7核心,结构良好,简明易懂 nnARM01_11_1_3.zip.zip nnARM开源项目,国防科技大学牛人ShengYu Shen写的,原来放在opencores上,
  3. 所属分类:VHDL编程

    • 发布日期:2013-12-29
    • 文件大小:1152489
    • 提供者:YeZiqiang
  1. ddc.rar

    2下载:
  2. 数字下变频器的matlab实现,一定的设计指标,可以用来知道vhdl程序设计,Digital Down Converter for matlab realized, certain design specifications that can be used to know VHDL Programming
  3. 所属分类:matlab

    • 发布日期:2017-03-26
    • 文件大小:2123
    • 提供者:杨斌
  1. oc_i2c_master.rar

    0下载:
  2. I2C core,经过验证可以在SOPC上运行的IP核,I2C core, verified SOPC can run on IP nuclear
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:193357
    • 提供者:zj
  1. butterfly.rar

    0下载:
  2. 蝶形运算,可用于DCT变换,FFT变换的模块,Butterfly computation, can be used for DCT transform, FFT transform module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:743
    • 提供者:过时无双
  1. VHDL_procedures.rar

    0下载:
  2. VHDL程序来让蜂鸣器发出音乐的声音 这种电路设计要分好几个模块 主要思路是用ROM记录乐谱 然后用分频器分频 还有就是用计数器读取乐谱 另外还可以扩展 使其显示音符 这是一个做好了的 就是ROM没填谱,VHDL procedures are in place to allow the voice of music The buzzer sounded a circuit design that several sub-modules to the ma
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:1568
    • 提供者:yy0838
  1. AD-Based_on_FPGA.rar

    0下载:
  2. 使用VHDL语言编写的A/D转换程序,可在FPGA平台使用,VHDL language used A/D conversion process can be used in the FPGA platform
  3. 所属分类:VHDL编程

    • 发布日期:2017-04-04
    • 文件大小:58381
    • 提供者:东风
  1. Audio_Codec_WM8731.rar

    0下载:
  2. 这是一个控制WM8731的IP。通过SOPC直接可以挂在总线下。,This is a the WM8731 control IP. Can be linked through the SOPC directly under the bus.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:13400
    • 提供者:小杨
  1. FPGAFFT.rar

    0下载:
  2. FPGA控制串行AD(AD0804),状态机实现,可以根据该程序实现数字电压计,数字温度计的设计,FPGA serial control AD (AD0804), state machine to achieve, you can program according to the number of voltage, the digital thermometer design
  3. 所属分类:Other systems

    • 发布日期:2017-04-10
    • 文件大小:1202442
    • 提供者:qa
  1. ddfs.rar

    0下载:
  2. 基本FPGA的DDS信号发生器,可产生1-1MHZ任意频率的三角波,方波,锯齿波,正弦波,Basic FPGA-DDS signal generator, can produce 1-1MHZ arbitrary frequency triangle wave, square wave, sawtooth, sine wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1373660
    • 提供者:吴宏伟
  1. ram_16bit.rar

    0下载:
  2. RAM写入16位,读出16位,并且通过计数器控制ram可以实现读入多个数据,This ram can write 16bits and read 16 bits
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:1854
    • 提供者:吴传平
  1. vhdl语言实现的16乘16的点阵显示设计代码

    1下载:
  2. vhdl语言实现的16乘16的点阵显示设计代码,调试通过,可借鉴-VHDL language to achieve the 16 by 16 dot matrix display design code, debug is passed, can learn from-vhdl language implementation of the 16 by 16 dot matrix display design code, debug through, we may learn-VHDL langu
  3. 所属分类:VHDL编程

    • 发布日期:2017-05-13
    • 文件大小:3507730
    • 提供者:王晨
  1. music

    0下载:
  2. 设计并调试好一个能产生”梁祝”曲子的音乐发生器,并用EDA实验开发系统(拟采用的实验芯片的型号可选Altera的MAX7000系列的 EPM7128 CPLD ,FLEX10K系列的EPF10K10LC84-3 FPGA, ACEX1K系列的 EP1K30 FPGA,Xinlinx 的XC9500系列的XC95108 CPLD,Lattice的ispLSI1000系列的1032E CPLD)进行硬件验证。 设计思路 根据系统提供的时钟源引入一个12MHZ时钟的基准频率,对其进行各种分频
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:8680
    • 提供者:lijq
  1. MIPS

    2下载:
  2. 组成原理大作业--基于MIPS的运算器设计,内附详细设计文档,包含设计文档和使用手册,主程序,测试程序,还有设计的框图等。实现了可以执行基本的MIPS有关运算器相关的指令共17条,用Verilog编写。-Composition Principle big operation- based on the MIPS computing design, containing a detailed design document, including design documentation and u
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-12-14
    • 文件大小:3060536
    • 提供者:da
« 1 2 3 4 5 67 8 9 10 11 ... 50 »
搜珍网 www.dssz.com