CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - vhdl pwm

搜索资源列表

  1. ptc

    0下载:
  2. PWM/TIMER/COUNTER VHDL IP core-PWM / TIMER / COUNTER VHDL IP core
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:272885
    • 提供者:hehilon
  1. spant

    0下载:
  2. 一个在spantan3上实现的24路分频VHDL程序,实现方法简单,并且在硬件电路上跑过,可以直接使用。可以进一步修改成PWM程序。-a spantan3 achieved in the 24-way frequency VHDL procedures, simple, and the hardware circuits once ran can be used directly. Can be further modified as PWM procedures.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1543
    • 提供者:林海
  1. PWM

    0下载:
  2. 通过vhdl语言实现PWM功能,设置10 -100 的占空比调整,经测试通过-PWM function, vhdl language set adjust the duty cycle of 10 -100 , tested by
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-03
    • 文件大小:186947
    • 提供者:hao dong
  1. pwm

    0下载:
  2. 脉宽调制,实现了脉宽调制功能,用VHDL语言,在quaturs2环境下运行。- Pulse Wavelength Modulation
  3. 所属分类:Other windows programs

    • 发布日期:2017-11-25
    • 文件大小:1043862
    • 提供者:邓任桂
  1. PWM-VHDL-Dual-complementary-outputs

    0下载:
  2. 基于单片机的双路互补PWM波输出设置,仅供参考,希望对需要的人有帮助。-Based on single-chip dual complementary PWM wave.
  3. 所属分类:SCM

    • 发布日期:2017-11-09
    • 文件大小:788
    • 提供者:ZHUMING
  1. VHDL-book3

    0下载:
  2. D_flipflop:1位D触发器的设计 D_fllipflop_behav:4位D触发器的设计 reg1bit:1位寄存器设计 reg4bit:4位寄存器设计 shiftreg4:一般移位寄存器的设计 ring_shiftreg4:环型移位寄存器的设计 debounce4:消抖电路的设计 clock_pulse:时钟脉冲电路的设计 count3bit_gate:3位计数器的设计 count3bit_behav:3位计数器的设计 mo
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-21
    • 文件大小:9017647
    • 提供者:贾诩
  1. pwm

    0下载:
  2. pwm波形的VHDL描述,比较简单,方便参考@!-VHDL descr iption pwm waveform, relatively simple, convenient reference @!
  3. 所属分类:MiddleWare

    • 发布日期:2017-04-11
    • 文件大小:1084
    • 提供者:ttr
  1. pwm

    0下载:
  2. PWM VHDL程序,双路互补输出,精度占空比可调-PWM VHDL program, dual complementary output, precision adjustable duty cycle
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-12
    • 文件大小:670
    • 提供者:范旭东
  1. PWM

    0下载:
  2. 使用VHDL编写的PWM程序,可以扩展使用在电力电子装置的控制上-use VHDL to impelement PWM, which can be used in the control of power eletronic device.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:979093
    • 提供者:林铎
  1. PWM

    0下载:
  2. PWM VHDL spartan 3e active vhdl nexys2
  3. 所属分类:MultiLanguage

    • 发布日期:2017-04-15
    • 文件大小:8069
    • 提供者:Tcko
  1. DIV_PWM

    0下载:
  2. 这是简单的vhdl pwm的例程,适合新手学习-This is a simple vhdl pwm routines, suitable for beginners to learn
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-28
    • 文件大小:61434
    • 提供者:董扬
  1. PWM-VHDL

    0下载:
  2. 是使用VHDL编写的一段PWM产生程序,里面附带了详细的说明和源程序。-Is to use VHDL to write a PWM generation procedure, it comes with detailed instructions and the source program.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:529162
    • 提供者:qu xiansheng
  1. PWM

    0下载:
  2. vhdl编写的pWM程序,用三角波比较法,实现比较简单-vhdl vhdl written pWM program, with a triangular wave comparison method, relatively simple to achieve
  3. 所属分类:Other systems

    • 发布日期:2017-05-03
    • 文件大小:634696
    • 提供者:changrenhe
  1. PWM-IS

    0下载:
  2. control Pulse width modulation (PWM) using VHDL code and Block schematic.the selection switch at the FPGA board is important to control the duty cycle of PWM.For example application that can be used is to control speed dc motor.-control Pulse width m
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1931120
    • 提供者:abdul
  1. PWM

    1下载:
  2. 基于FPGA的PWM控制器设计,包含ADC0820模块,按键扫描,PID,PWM控制器等模块,VHDL语言完成,已仿真通过-PWM controller design based on FPGA, including ADC0820 module, key scan, PID, PWM controllers and other modules, VHDL language completed, through simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:787585
    • 提供者:hbxgwjl
  1. pwm

    0下载:
  2. 使用VHDL实现可调的PWM控制器,便于初学者学习-Use VHDL to achieve an adjustable PWM controller, easy for beginners to learn
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:745
    • 提供者:zsk
  1. pwm

    0下载:
  2. VHDL, quartet 2 , FPGA, cyclone II, controllen PWM brightness
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:729
    • 提供者:zeez
  1. variable_duty_cycle_pwm

    0下载:
  2. VHDL project in ISE Xilinx for PWM generation
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-25
    • 文件大小:518144
    • 提供者:sameer
  1. pwm

    0下载:
  2. lkwdnvlksmdvl lkwndvlkwmndlvk lwkdnlkml lwkenlfk
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-22
    • 文件大小:1024
    • 提供者:AtinHello
  1. pwm_ok_PWM产生器

    0下载:
  2. 用于产生占空比任意可调的PWM产生器。PWM,即Pulse-Width Modulation 脉宽调制,常用于电机的控制中。(It is used to generate any adjustable PWM generator. PWM, namely Pulse-Width Modulation pulse width modulation, is commonly used in motor control.)
  3. 所属分类:嵌入式/单片机/硬件编程

    • 发布日期:2018-05-02
    • 文件大小:172032
    • 提供者:jqhnfin
« 1 2 3 4 5 67 8 9 »
搜珍网 www.dssz.com