CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 仿真

搜索资源列表

  1. 卡尔曼滤波MATLAB仿真程序

    16下载:
  2. 卡尔曼滤波MATLAB仿真程序
  3. 所属分类:matlab例程

    • 发布日期:2009-05-05
    • 文件大小:37284
    • 提供者:chuchuc
  1. lcd1602程序及仿真

    2下载:
  2. lcd1602程序及其在Proteus下的仿真,编程采用了汇编和C语言两种形式,适合不同的人进行学习。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2009-07-30
    • 文件大小:57631
    • 提供者:a524275873
  1. 基于MultiGenCreator_Vega飞艇飞行控制系统仿真技术研究

    0下载:
  2. 基于MultiGenCreator_Vega飞艇飞行控制系统仿真技术研究
  3. 所属分类:其他小程序

    • 发布日期:2009-08-13
    • 文件大小:295082
    • 提供者:hanwei_123
  1. lcd1602 驱动及仿真

    0下载:
  2. lcd1602 驱动及仿真 Proteus
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2009-03-09
    • 文件大小:54330
    • 提供者:hemu1234
  1. 1024点fft程序,在ccs上可以直接仿真,无需调试FFT1024点

    4下载:
  2. 1024点fft程序,在ccs上可以直接仿真,无需调试FFT1024点
  3. 所属分类:DSP编程

  1. 仿真VISTA操作系统界面

    0下载:
  2. 仿真VISTA操作系统界面
  3. 所属分类:界面编程

    • 发布日期:2010-05-09
    • 文件大小:36447
    • 提供者:cfan04
  1. LDPC的matlab仿真

    1下载:
  2. LDPC的matlab仿真
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2010-05-13
    • 文件大小:32147
    • 提供者:hiuyue
  1. 51单片机清ROM仿真

    0下载:
  2. 51单片机清ROM仿真
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2010-10-19
    • 文件大小:22255
    • 提供者:qq765218805
  1. L298电机单片机控制+液晶proteus仿真最终版

    1下载:
  2. L298控制电机,单片机C51控制,液晶12864,矩阵键盘一起proteus仿真
  3. 所属分类:源码下载

    • 发布日期:2010-11-23
    • 文件大小:93054
    • 提供者:singlemans
  1. 一种OFDM系统仿真

    1下载:
  2. 本通信系统的仿真设计包括编码、调制、IFFT、上下变频、高斯信道建模、FFT\PAPR抑制、各种同步、解调和解码等模块,并通过系统性能的仿真验证了系统设计的可靠性。
  3. 所属分类:matlab例程

    • 发布日期:2010-11-30
    • 文件大小:22224
    • 提供者:mmss551
  1. MIMO-OFDM通信系统仿真

    2下载:
  2. 这是一个基于空时分组便秘的MIMO_OFDM通信系统的仿真设计,包括QPSK调制解调、IFFT调制、控时编解码、基于训练符号的信道估计等通信模块。
  3. 所属分类:matlab例程

    • 发布日期:2010-11-30
    • 文件大小:12795
    • 提供者:mmss551
  1. 51单片机的万年历protues仿真实现

    3下载:
  2. LED万年历的proteus仿真电路及C语言程序设计
  3. 所属分类:源码下载

    • 发布日期:2010-12-07
    • 文件大小:129434
    • 提供者:fionath
  1. Ofdm matlab系统仿真

    1下载:
  2. ofdm系统仿真,matla实现,亲自测过,可以运行,有一定的参考价值。
  3. 所属分类:源码下载

  1. multisim电子仿真实验源文件1

    0下载:
  2. multisim电子仿真实验源文件
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2011-03-05
    • 文件大小:9873257
    • 提供者:929122283
  1. MATLAB的4PSK,卷积,余弦滚降,眼图,信道估计仿真

    1下载:
  2. MATLAB的4PSK,卷积,余弦滚降,眼图,信道估计仿真
  3. 所属分类:其它

  1. MATLAB语言与控制系统仿真

    1下载:
  2. MATLAB语言与控制系统仿真
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2011-04-09
    • 文件大小:509358
    • 提供者:tianyaykq
  1. 多径信号传播模型的matlab仿真

    2下载:
  2. 多径,满足瑞丽分布的信道的仿真,matlab
  3. 所属分类:源码下载

  1. 教你在 Cygwin 中实现 skyeye 仿真 LCD

    0下载:
  2. 教你在Cygwin中实现skyeye仿真LCD,教程详尽,附所有所需的工程与源代码.
  3. 所属分类:Linux/Unix编程

    • 发布日期:2011-06-29
    • 文件大小:56467017
    • 提供者:xyz543
  1. 毕业设计基于matlab的QPSK系统仿真

    4下载:
  2. QPSK是英文Quadrature Phase Shift Keying的缩略语简称,意为正交相移键控,是一种数字调制方式。在19世纪80年代初期,人们选用恒定包络数字调制。这类数字调制技术的优点是已调信号具有相对窄的功率谱和对放大设备没有线性要求,不足之处是其频谱利用率低于线性调制技术。19世纪80年代中期以后,四相绝对移相键控(QPSK)技术以其抗干扰性能强、误码性能好、频谱利用率高等优点,广泛应用于数字微波通信系统、数字卫星通信系统、宽带接入、移动通信及有线电视系统之中......理解QP
  3. 所属分类:源码下载

  1. pic16f877仿真pcf8563

    0下载:
  2. pic16f877仿真pcf8563
  3. 所属分类:系统编程

    • 发布日期:2011-08-06
    • 文件大小:1068
    • 提供者:yyhhgg
« 12 3 4 5 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com