CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 Windows编程 搜索资源 - 状态机

搜索资源列表

  1. counter4

    0下载:
  2. 用有限状态机实现16位可逆计数器,有使能位,可以异步清零-16 reversible counter finite state machine, the enable bit asynchronous clear
  3. 所属分类:Other systems

    • 发布日期:2017-11-22
    • 文件大小:624
    • 提供者:赵永峰
  1. WFStateMachines

    0下载:
  2. 状态机模式实现。C#的,客户端形势的例子。-State machine model to achieve
  3. 所属分类:.net

    • 发布日期:2017-12-09
    • 文件大小:99208
    • 提供者:许林涛
  1. state_machine

    0下载:
  2. PCI状态机多功能转换之间的实现 主要的代码 如需仿真,还需要其他代码-pci state machine
  3. 所属分类:Other systems

    • 发布日期:2017-11-24
    • 文件大小:2788
    • 提供者:andy
  1. QML__Nokia

    0下载:
  2. QML__Nokia QML是一种描述性的脚本语言,文件格式以.qml结尾。语法格式非常像CSS(参考后文具体例子),但又支持javascr ipt形式的编程控制。我个人认为它结合了QtDesigner UI和Qtscr ipt的优点。QtDesigner可以设计出.ui界面文件,但是不支持和Qt原生C++代码的交互。Qtscr ipt可以和Qt原生代码进行交互,但是有一个缺点,如果要在脚本中创建一个继承于QObject的图形对象非常不方便,只能在Qt代码中创建图形对象,然后从Qtscr i
  3. 所属分类:GUI Develop

    • 发布日期:2017-11-23
    • 文件大小:1784806
    • 提供者:Silence
  1. zhuang_tai_ji

    0下载:
  2. 状态机的一个应用,非常好学。他可以让你明白怎么去运用状态机。-An application of the state machine, very studious. He can make you understand how to use the state machine.
  3. 所属分类:Windows Develop

    • 发布日期:2017-11-26
    • 文件大小:827602
    • 提供者:奎本世
  1. zhuang

    0下载:
  2. 一个生动的例子反映出状态机的使用与编写。非常非常完美的例子。-A vivid example reflects the use of the state machine and write. Very, very perfect example.
  3. 所属分类:Windows Develop

    • 发布日期:2017-11-14
    • 文件大小:694655
    • 提供者:奎本世
  1. StringsSearch

    0下载:
  2. 关键字搜索算法. 可以对要搜索的大量关键字进行状态机构建,然后使用这个状态机只扫描一次文本,即可找出文本中都有哪些关键字出现了,以及出现的位置.-Keyword search algorithm., State agencies built a large number of keywords to search, and then use the state machine to scan the text only once, you can find out what keyword
  3. 所属分类:Other systems

    • 发布日期:2017-12-02
    • 文件大小:61052
    • 提供者:Fab Lyd
  1. lab4_files

    0下载:
  2. 关于VHDL 状态机的讲解分析 及源代码-Explain the analysis and source code on the state machine in VHDL
  3. 所属分类:Other systems

    • 发布日期:2017-12-03
    • 文件大小:1280965
    • 提供者:黄端阳
  1. Buckland_Chapter2-State-Machines

    0下载:
  2. 这里一个有限状态机的例子,适合学习用,里面有三个程序,都是不同的程序,也有一个Common的文件,在VC++平如下运行时要导入这个文件,否刚系统编译找不到头文件而报-Here a finite state machine of example, suitable for learning to use, inside there are three procedures are different procedures, but also have a Common s file, VC++ l
  3. 所属分类:Other systems

    • 发布日期:2017-11-08
    • 文件大小:3369130
    • 提供者:陈官林
  1. cache

    0下载:
  2. 基于MIPS思维方式,verilog语言,简单的cache 控制器设计,状态机共分4个状态,同时内含多样测试文件-MIPS way of thinking, verilog language, simple cache controller state machine is divided into four states, at the same time contains diverse test file
  3. 所属分类:Other systems

    • 发布日期:2017-11-07
    • 文件大小:117276
    • 提供者:邹楠
  1. electronic-code-lock

    0下载:
  2. 该模块基于FPGA密码锁设计,使用状态机实现。功能多,速度快。-The password lock based on FPGA module design, the use of state machine to achieve. Multiple functions, fast.
  3. 所属分类:Other systems

    • 发布日期:2017-11-11
    • 文件大小:763787
    • 提供者:庄德坤
  1. CY7C68013-Slave-Control(2012-10-28)

    0下载:
  2. cy7c68013 Slave FIFO模式下的控制程序,和Agilent34401A积分信号发生状态机-failed to translate
  3. 所属分类:Other systems

    • 发布日期:2017-11-16
    • 文件大小:926021
    • 提供者:文杰
  1. 11

    0下载:
  2. VHDL序列检测器,使用了EDA课程里面用到的状态机.-VHDL sequence detector, the use of EDA curriculum used inside the state machine.
  3. 所属分类:Other systems

    • 发布日期:2017-11-27
    • 文件大小:686
    • 提供者:蒋峰
  1. StatusMachine

    0下载:
  2. 通过状态机原理,实现各类数据的解析。 配置文件的灵活运用-Through the state machine theory, to achieve all kinds of data analysis. Flexible use of the configuration file
  3. 所属分类:Communication

    • 发布日期:2017-04-03
    • 文件大小:10860
    • 提供者:
  1. AI

    0下载:
  2. AI算法,包括路径规划与移动技术.有限状态机,脚本技术,群聚技术,遗传算法,神经网络-AI algorithms, including path planning and mobile technology. Finite state machines, scr ipting technologies, clustering techniques, genetic algorithms, neural networks
  3. 所属分类:Windows Develop

    • 发布日期:2017-05-14
    • 文件大小:3486291
    • 提供者:yangjingyu
  1. example2

    0下载:
  2. 此代码硬件开源代码,代码实现摩尔状态机的功能,值得参考-This code is open source hardware, code Moore state machine functions, it is also useful
  3. 所属分类:Other systems

    • 发布日期:2017-04-07
    • 文件大小:896
    • 提供者:huhao813
  1. pci_fpga

    0下载:
  2. 对pci9054芯片的配置进行了设置,并对PCI9054的各状态机进行了设置,程序经过了测试-Pci9054 chip on the configuration of the set, and each state machine PCI9054 been set, the program have been tested
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-16
    • 文件大小:8749
    • 提供者:蔡明
  1. key

    0下载:
  2. 两个io口控制六个独立按键,附带原理图,软件是基于状态机的思想。-IO control key
  3. 所属分类:Other systems

    • 发布日期:2017-04-16
    • 文件大小:11054
    • 提供者:刘谋稳
  1. tiaoshi_18_outVector_FSM

    0下载:
  2. omnet++模拟环境中的FSM状态机仿真代码-omnet++ simulation environment FSM state machine simulation code
  3. 所属分类:Communication

    • 发布日期:2017-05-28
    • 文件大小:11002371
    • 提供者:wangshijun
  1. GSE_1.0.2_src

    0下载:
  2. 游戏状态机类库,C++的,实现简单,与hge一起使用-Game state machine library
  3. 所属分类:Multimedia Develop

    • 发布日期:2017-05-02
    • 文件大小:997679
    • 提供者:ning
« 1 2 3 4 5 6 7 89 10 11 12 13 14 15 »
搜珍网 www.dssz.com