CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 Windows编程 搜索资源 - 累加器

搜索资源列表

  1. Basic-sequential-logic

    0下载:
  2. 用Verilog语言实现D触发器、累加器的功能-D flip-flop, the function of the accumulator using Verilog language
  3. 所属分类:Other systems

    • 发布日期:2017-11-17
    • 文件大小:41272
    • 提供者:李炜
  1. my_multiplier

    0下载:
  2. 一个VHDL编的简单乘法器,基本原理设计如下图所示: 将两个操作数分别以串行和并行模式输入到乘法器的输入端, 用串行输入操作数的每一位依次去乘并行输入的操作数, 每次的结果称之为部分积, 将每次相乘得到的部分积加到累加器里, 形成部分和, 部分和在与下一个部分积相加前要进行移位操作。-A simple multiplier VHDL series, the basic principles of design as follows: two operands, respectively, ser
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-12
    • 文件大小:704
    • 提供者:Justin
  1. sumexp

    0下载:
  2. e是输入,sum_e是输出,cnt_in是累加数据的个数。这个模块是我(新手)一个项目中用来累加exp(x)的一个模块。(E is the input, the sum_e is the output, and the cnt_in is the number of accumulative data. This module is a module that is used to add exp (x) to a project in my (novice) project.)
  3. 所属分类:其他

    • 发布日期:2018-04-29
    • 文件大小:25600
    • 提供者:HUFT熊大
  1. pb_lx

    0下载:
  2. 功能:w_1向w_2传参(累加次数)并触发w_2控件cb_1(累加器);w_2控件cb_1计算从1至所接收累加次数的累加值并返回w_1。 目的:说明窗口间相互触发控件及传参、传值的技术细节。(Function: w_1 passes to w_2 (accumulating times) and triggers w_2 control cb_1 (accumulator); w_2 control cb_1 calculates accumulative value from 1 to re
  3. 所属分类:界面编程

    • 发布日期:2018-05-01
    • 文件大小:7168
    • 提供者:zhanghl_zz
« 1 2»
搜珍网 www.dssz.com