CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 系统编程 搜索资源 - vhdl

搜索资源列表

  1. 3des-VHDL

    0下载:
  2. 3des的VHDL实现,适用于quartus环境-3des VHDL applicable to the environment quartus
  3. 所属分类:加密解密

    • 发布日期:2008-10-13
    • 文件大小:95551
    • 提供者:xin
  1. vhdl经典100实例

    0下载:
  2. vhdl经典100实例
  3. 所属分类:系统编程

    • 发布日期:2009-05-07
    • 文件大小:373299
    • 提供者:maxiaocui
  1. aes-vhdl 使用vhdl语言实现aes(rijndael 算法)

    0下载:
  2. 使用vhdl语言实现aes(rijndael 算法),程序整体封装成为一个package,方便调用-Using vhdl language aes (rijndael algorithm), the program as a whole package as a package, easy call
  3. 所属分类:加密解密

    • 发布日期:2017-03-24
    • 文件大小:7271
    • 提供者:Bruce Lee
  1. rom_des

    0下载:
  2. DES 加密算法的VHDL和VERILOG 源程序及其TESTBENCH。-VHDL and VERILOG sourcecode and TESTBENCH of DES encrypting algorithm
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-11-12
    • 文件大小:30807
    • 提供者:
  1. clk

    0下载:
  2. 现代电子系统课程设计 基于DDS技术利用VHDL设计并制作一个数字式移相信号发生器。 (1)基本要求: a.频率范围:1Hz~4kHz,频率步进为1Hz,输出频率可预置。 b.A、B两路正弦信号输出,10位输出数据宽度 c.相位差范围为0~359°,步进为1.4°,相位差值可预置。 d.数字显示预置的频率(10进制)、相位差值。 (2)发挥部分 a.修改设计,增加幅度控制电路(如可以用一乘法器控制输出幅度)。 b.输出幅度峰峰值0.1~3.0V,步距0
  3. 所属分类:Windows Kernel

    • 发布日期:2017-03-28
    • 文件大小:174787
    • 提供者:耳边
  1. nios2-flash-programmer

    0下载:
  2. 开发VHDL的工具 QuartusII7.2_破解.rar-QuartusII7.2
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-03-29
    • 文件大小:89696
    • 提供者:赖泽冲
  1. rc5statemac

    0下载:
  2. rc5 encryption- rc5 encryption using vhdl, using state machine, more detailed descr iption can be found in ieee papers.
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-04-13
    • 文件大小:2123
    • 提供者:hatela
  1. rc5decstmac

    0下载:
  2. RC5 decryption algorithm implementation, using vhdl, with state machine implementation, use ieee papers for more detailed descr iption.
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-04-13
    • 文件大小:2110
    • 提供者:hatela
  1. rc5keyexp

    0下载:
  2. rc5 key expansion algorithm implementation in vhdl, using state machine too. use ieee papers for more detailed descr iption
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-04-13
    • 文件大小:2153
    • 提供者:hatela
  1. 3des_vhdl

    0下载:
  2. DES-3 VHDL Code implemented on FPGA ,including related document
  3. 所属分类:CA program

  1. Linux_bc

    1下载:
  2. 对vga接口做了详细的介绍,并且有一 ·三段式Verilog的IDE程序,但只有DMA ·电子密码锁,基于fpga实现,密码正 ·IIR、FIR、FFT各模块程序设计例程, ·基于逻辑工具的以太网开发,基于逻 ·自己写的一个测温元件(ds18b20)的 ·光纤通信中的SDH数据帧解析及提取的 ·VHDL Programming by Example(McGr ·这是CAN总线控制器的IP核,源码是由 ·FPGA设计的SDRAM控制器,有仿真代码 ·xili
  3. 所属分类:Linux-Unix program

    • 发布日期:2015-03-19
    • 文件大小:18683907
    • 提供者:liuzhou
  1. state_bar

    0下载:
  2. 带同步复位的状态机,适用于VHDL语言操作,对于初学者或是深入的人都适宜-state bar
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-10
    • 文件大小:665
    • 提供者:shenglinfeng
  1. tripledes

    0下载:
  2. 3-DES加密IP核VHDL源码,3次DES流水执行-VHDL source code for 3-DES encryption IP core, pipelined execution
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-31
    • 文件大小:32250
    • 提供者:Yan, Like
  1. rsa

    0下载:
  2. 用VHDL求rsa加密系统的密钥D(辗转相除法)-Using VHDL for rsa key encryption system D(Division algorithm)
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-05-10
    • 文件大小:2385249
    • 提供者:齐娜
  1. fsk

    0下载:
  2. 关于FSK调制的FPGA实现,有VHDL源码-FSK modulation on the FPGA, a VHDL source code
  3. 所属分类:Network Security

    • 发布日期:2017-03-24
    • 文件大小:141162
    • 提供者:123
  1. freehdl-0.0.6.tar

    0下载:
  2. inplementation of AES vhdl The use of a list of law, VHDL language based polynomial-based finite field multiplier, for the AES algorithm
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-04-09
    • 文件大小:1391749
    • 提供者:tarik
  1. Watchdog

    0下载:
  2. 基于VHDL语言,实用的看门狗功能设计程序-Based on the VHDL language, and practical watchdog function of the design process
  3. 所属分类:Windows Kernel

    • 发布日期:2017-03-30
    • 文件大小:4017
    • 提供者:小涛
  1. topic

    0下载:
  2. DES加密算法的VHDL和VERILOG源程序- Xilinx开源共享61EDA代码工厂-DES encryption algorithm of VHDL and VERILOG source code- Xilinx factory open source code sharing 61EDA
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-27
    • 文件大小:274592
    • 提供者:renkaiqiang
  1. AES

    0下载:
  2. 详细描述了AES加密算法的过程及S盒变换,用VHDL语言描述,通俗易懂-AES encryption algorithm is described in detail the process and transform S box, with the VHDL language to describe, easy to understand
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-30
    • 文件大小:558949
    • 提供者:韩颖
  1. vhdl

    0下载:
  2. 用VHDL语言设计一个串并转换电路。输入1位数据,输出8位数据。 验证:串行输入0、1、1、0、1、0、1、0,使结果输出为01101010 -A serial-to-parallel conversion circuit using VHDL design. Enter a data output 8-bit data. Verification: the serial input 0,1,1,0,1,0,1,0, the results output to 01101010
  3. 所属分类:Windows Kernel

    • 发布日期:2017-11-12
    • 文件大小:519
    • 提供者:尹因
« 12 3 4 5 6 7 8 9 10 »
搜珍网 www.dssz.com