CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 通讯/手机编程 串口编程 搜索资源 - CDMA

搜索资源列表

  1. CDMA短消息发送程序

    2下载:
  2. 用vc开发的cdma手机模块收发短信的功能,主要部分是串口通信和gb->unicode码间的转换。-vc development with the transceiver module cdma mobile messaging functions, the main part of the serial communications and gb - gt; Unicode Code of conversion.
  3. 所属分类:串口编程

    • 发布日期:2008-10-13
    • 文件大小:198286
    • 提供者:玮光
  1. FidelixTools

    0下载:
  2. 针对fidelix模块的测试软件源代码,通过串口,对fidelix(cdma模块)进行控制和检测.-against fidelix test software module source code, serial, right fidelix (cdma modules) for control and testing.
  3. 所属分类:串口编程

    • 发布日期:2008-10-13
    • 文件大小:75253
    • 提供者:王珏
  1. CDMACommunication

    0下载:
  2. 创建一个手机界面,主要完成短信的收发,利用CDMA进行无线通信-create a phone interface, complete messages transceiver using CDMA for wireless communications
  3. 所属分类:串口编程

    • 发布日期:2008-10-13
    • 文件大小:2846028
    • 提供者:cy
  1. cdma短信猫发送中文信息

    2下载:
  2. vcdma发送中文短信,建立一数据库,往表中添加数据,0为未发,1为发送
  3. 所属分类:串口编程

  1. CDMAWinCE.rar

    0下载:
  2. CDMA拨号程序源码,实现了在winCE平台下,采用 串口 方式对CDMA模块进行拨号上网的基本功能,可参考本代码。,CDMA dial-up program source code to achieve winCE platforms in use on the CDMA serial dial-up module of the basic functions, refer to the code.
  3. 所属分类:Com Port

    • 发布日期:2017-04-02
    • 文件大小:74296
    • 提供者:xingqin
  1. SIMEasy.rar

    1下载:
  2. 软件介绍: 手机卡易通是由江苏省海门市天乐之家工作室开发的一款针对手机GSM SIM卡及CDMA UIM卡的信息管理软件。 运行平台:MicroSoft Windows 98、2000、XP 读卡器支持: 1.支持所有型号的串口读卡器及USB转串口的USB读卡器 2.支持所有型号的SMART CARD读卡器,完全符合PC/SC规范和ISO-7816 T=0标准 3.支持无驱型读卡器 智能卡支持: 1.支持GSM SIM卡 2.支持CDMA UIM卡
  3. 所属分类:Com Port

  1. VB_test

    0下载:
  2. 用VB编写的串口通信程序,包括二进制和文本两种模式-VB prepared by serial communication procedures, including two types of binary and text mode
  3. 所属分类:Com Port

    • 发布日期:2017-03-31
    • 文件大小:155318
    • 提供者:wanjie
  1. CDMA

    0下载:
  2. cdma钟卡控制编程,用到口通讯,数据包拆分组合,发送-serial port congtrl
  3. 所属分类:Com Port

    • 发布日期:2017-05-06
    • 文件大小:1231244
    • 提供者:肖江波
  1. GPRSmodem(CDMA)

    0下载:
  2. GPRS无线modem(CDMA)硬件开发源码C格式编写。-GPRS wireless modem (CDMA) format C-source hardware development to prepare.
  3. 所属分类:Com Port

    • 发布日期:2017-03-30
    • 文件大小:199315
    • 提供者:yoeac
  1. UniCDMAMobileSolutionPhoneLock

    0下载:
  2. 可以用于CDMA移动通信终端的解除话机所服务-CDMA mobile communication terminal can be used for the lifting of phone service by
  3. 所属分类:Com Port

    • 发布日期:2017-04-06
    • 文件大小:233077
    • 提供者:jj
  1. MC703_AT_V1.00 AT命令手册

    1下载:
  2. 华为MC703无线模块AT命令手册, 适用于华为CDMA2000带TCP/IP协议栈的无线模块。对符合中国电信CDMA2000标准的无线模块也适用。-Huawei MC703 wireless module AT command manual for Huawei' s CDMA2000 with TCP/IP protocol stack for wireless modules. Right in line with China Telecom CDMA2000-standard wirel
  3. 所属分类:串口编程

    • 发布日期:2012-11-19
    • 文件大小:1105230
    • 提供者:何足道
  1. GXT-M201Manual

    0下载:
  2. GPS用的串口摄像机的通讯协议。把图象压缩为JPEG文件通过串口送给GPRS/CDMA-GPS cameras with the serial communication protocol
  3. 所属分类:Com Port

    • 发布日期:2017-04-04
    • 文件大小:18282
    • 提供者:屈力
  1. CDMA

    0下载:
  2. 中兴CDMA模板编程 串口用控件 简单移动 实现一般功能 可以给初学者参考-ZCT model with CDMA!COM program!
  3. 所属分类:Com Port

    • 发布日期:2017-05-15
    • 文件大小:3810542
    • 提供者:郑石头
  1. inntantiated

    0下载:
  2. CDMA中一个重要的模块:SIMULINK防真的一些基础的知识,是个课件()
  3. 所属分类:串口编程

  1. gjwdjua427

    0下载:
  2. CDMA系统中开环发射分集系统的仿真和实现,与非开环情况下的系统性能比较()
  3. 所属分类:串口编程

    • 发布日期:2018-01-09
    • 文件大小:48128
    • 提供者:Raeqqr
  1. FQQN

    0下载:
  2. 此源码能够对cdma系统进行仿真,并计算误码率()
  3. 所属分类:串口编程

    • 发布日期:2018-01-09
    • 文件大小:11264
    • 提供者:Wedndr
  1. best__mongitudinal

    0下载:
  2. B CDMA的MAP协议及以下层协议的解析,可以用于交换机,仪表,监测系统等等 B()
  3. 所属分类:串口编程

    • 发布日期:2018-01-10
    • 文件大小:13312
    • 提供者:eesxqucfor
  1. hipelife

    0下载:
  2. 两个Nokia研究人员写的W-CDMA的仿真过程的整个代码,C code 包含信道编码,交织,速率匹配,调制,扩频,信道模型()
  3. 所属分类:串口编程

    • 发布日期:2018-01-10
    • 文件大小:60416
    • 提供者:tupli
  1. uttcj

    0下载:
  2. 华为公司的CDMA模块CM320的AT指令集合,希望对大家有用,()
  3. 所属分类:串口编程

    • 发布日期:2018-01-10
    • 文件大小:545792
    • 提供者:podirzrtqd
  1. addresw

    0下载:
  2. CDMA技术是当前无线电通信,尤其是移动通信的主要技术,不论是在中国已经建立的IS-95规范的中国联通CDMA网,各大移动()
  3. 所属分类:串口编程

    • 发布日期:2018-04-19
    • 文件大小:354304
    • 提供者:zosld
« 12 »
搜珍网 www.dssz.com