CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 三角波

搜索资源列表

  1. learn_dds.基于quartus ii 9.0的简易dds波形发生器

    2下载:
  2. 基于quartus ii 9.0的简易dds波形发生器,可以产生正弦,方波,三角波,可变幅,可变频。非常适合学习使用,使用时请按自己的芯片和引脚设置,Quartus ii 9.0 Based on dds simple waveform generator can produce sine, square, triangle wave can be amplitude, frequency can be. Very suitable for learning to use, when used
  3. 所属分类:VHDL编程

    • 发布日期:2016-04-29
    • 文件大小:732127
    • 提供者:陈东旭
  1. xinhaofashengqi.rar

    0下载:
  2. 简易信号发生器,可产生正弦波、方波、三角波,幅度、频率都可调节。,Simple signal generator can produce sine, square, triangle wave, amplitude, frequency adjustment can be.
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:243412
    • 提供者:苏维
  1. xhfsq.rar

    0下载:
  2. 能产生正弦波、三角波、锯齿波和方波,波形种类和频率可以通过矩阵键盘设置,Can produce sine wave, triangle wave, sawtooth and square wave, waveform types and frequency can be set through the keyboard matrix
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:4765
    • 提供者:cyy4409
  1. ddfs.rar

    0下载:
  2. 基本FPGA的DDS信号发生器,可产生1-1MHZ任意频率的三角波,方波,锯齿波,正弦波,Basic FPGA-DDS signal generator, can produce 1-1MHZ arbitrary frequency triangle wave, square wave, sawtooth, sine wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1373660
    • 提供者:吴宏伟
  1. DDS

    0下载:
  2. 基于quartus的DDS,可以发生正弦波,方波,三角波,附带了顶层文件,注释在程序中-Quartus on the DDS, can occur sine wave, square wave, triangle wave, with the top-level documents, notes in the procedure
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:77667
    • 提供者:ivan
  1. DDS

    0下载:
  2. 1、 能够产生正弦波、方波、三角波和锯齿波四种波形;AD9850产生正弦波,单片机控制DA产生正弦波,三角波,锯齿波,方波。 2、 可手动设置输出频率,提供扫频输出功能; 3、 方波:提供PWM波输出,及占空比可调(可调范围2 ~98 ,步进为2 )。 4、 可以通过键盘输入控制单片机产生的波形,在液晶上显示此时输出的波形和频率; 5、 输出频率和手动输入频率误差很小,较高频率的无失真波形。 -the function creat sine wave,trangle wave
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-25
    • 文件大小:14691
    • 提供者:苏诗卧龙
  1. wavecreatemachine

    1下载:
  2. 本系统采用51单片机和DAC0832,在数码管上显示频率值。可以产生方波,正弦波,三角波,锯齿波,可通过按键选择,频率可调。-The system uses a single-chip microcomputer 51 and DAC0832, digital tube display at the frequency value. Could have a square wave, sine wave, triangle wave, sawtooth, through button selec
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:50129
    • 提供者:付华东
  1. DDS

    0下载:
  2. 我们小组共了一个月做的DDS,程序核心用的是Verilog HDL,有仿真波形,输出正弦波,方波,及三角波,步进可调.频率范围1HZ--10MHZ-Our group for a month to do a total of DDS, the procedure is used in the core of Verilog HDL, there are simulation waveform, the output sine wave, square wave and triangular wa
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:117375
    • 提供者:tiancheng
  1. DA

    0下载:
  2. 波形发生器啊 可以产生方波,锯齿波 三角波 正弦波-Ah waveform generator can produce square wave, sawtooth wave sine triangle
  3. 所属分类:SCM

    • 发布日期:2017-04-05
    • 文件大小:148787
    • 提供者:豪仔
  1. FINALWORK

    0下载:
  2. 简易信号发生器 可产生正弦波、方波、三角波、锯齿波 周期可调 verilog-Simple signal generator can produce sine, square, triangle wave, sawtooth-cycle adjustable verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:577
    • 提供者:tank tan
  1. 51DDS

    0下载:
  2. 用51单片机模拟dds产生正弦波,方波,三角波,幅度频率可控。-Dds generated using 51 MCU simulation sine, square, triangle wave, amplitude frequency control.
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:26135
    • 提供者:王风存
  1. sin_c_program

    0下载:
  2. 简易正弦波\三角波\方波发生器 使用keil C51,12MHZ晶振,AT89C2051 -Simple sine wave square wave triangle wave generator using keil C51, 12MHZ crystal, AT89C2051
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:15800
    • 提供者:xian
  1. signal_generator

    0下载:
  2. 基于vhdl的多功能函数信号发生器的设计,能实现三角波、方波、正弦波。-VHDL-based multi-function signal generator design, can achieve the triangular wave, square wave, sine wave.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:784
    • 提供者:tony
  1. fangbosanjiaobojuchibo

    0下载:
  2. 运用汇编语言编写的方波、锯齿波、三角波程序,具有很好的操作性
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-15
    • 文件大小:5796
    • 提供者:dalang
  1. wave_generator

    0下载:
  2. 基于cycloneII的信号发生器,产生正弦波、方波、三角波,人机界面十分友好,可方便地进行波形切换-CycloneII based on the signal generator to produce sine wave, square wave, triangle wave, a very friendly man-machine interface can be easily switched waveform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1429278
    • 提供者:henry
  1. 9833

    1下载:
  2. 基于51系列单片机以及AD9833DDS数字芯片的正弦波、三角波、方波、锯齿波发生装置,频率0到10M任意可调。-A wave generator including sin, rec, triangle... Base on 51 MCU & AD9833 DDS chip.
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:46219
    • 提供者:邓天坚
  1. boxingfashengqi

    0下载:
  2. 可以产生以下波形:方波、正弦波、三角波、锯齿波,且幅值电压:0V到5V-To generate the following waveforms: square wave, sine wave, triangle wave, sawtooth wave, and the amplitude voltage: 0V to 5V
  3. 所属分类:SCM

    • 发布日期:2017-05-16
    • 文件大小:4246414
    • 提供者:zhengchao
  1. x

    0下载:
  2. avr mega16单片机写的函数发生器,利用定时器中断发生正弦波、三角波、锯齿波-avr mega16 MCU to write a function generator, using the timer interrupt occurs sine wave, triangle wave, sawtooth wave
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-02
    • 文件大小:589
    • 提供者:张伟伟
  1. DA正弦波三角波输出测试51

    0下载:
  2. 通过改变单片机的DA输出电压,可以得到各种各样的电压波形输出,下面介绍产生正弦波形需送DA的数据是如何计算的。 首先既然是正弦波,那么就要确定要输出一个周期正弦波的采样点数point,即由多少点组成了一周期的正弦波,还要知道单片机输出DA的数字值maxnum是多少,比如 8位DA,maxnum=256。10位DA,maxnum=1024。 知道以上两个值后,就开始计算需要得到的正弦波DA数据了,我设置一个正弦波由61个点组成,所选DA最大数字输入值为1024,那
  3. 所属分类:单片机开发

    • 发布日期:2017-12-27
    • 文件大小:72704
    • 提供者:创客1992
  1. STM32F103ZET6任意频率正弦波+三角波工程

    0下载:
  2. STM32F103ZET6任意频率正弦波+三角波工程(STM32F103ZET6 arbitrary frequency sinusoidal wave + triangular wave Engineering)
  3. 所属分类:单片机开发

    • 发布日期:2018-04-28
    • 文件大小:357376
    • 提供者:NOCELIANG
« 1 23 4 5 6 7 8 9 10 ... 38 »
搜珍网 www.dssz.com