CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 三角波

搜索资源列表

  1. AD9833-driver

    1下载:
  2. 这是我花了几十块钱买的程序,使用单片机AT89S52控制AD9833,LCD1602显示,输出正弦波,方波和三角波。-I spent dozens of dollars to buy the program, the use of microcontroller AT89S52 control AD9833, LCD1602 display, output sine wave, square wave and triangular wave.
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:867
    • 提供者:冯小雷
  1. singnal

    0下载:
  2. VHDL实现通用通信信号源,包括sin,cos,方波,三角波,BPSK,GMSK,ASK,16QAM等信号的产生以及DDS,PLL的VHDL系统代码-VHDL implementation of universal communication sources, including sin, cos, square, triangle, BPSK, GMSK, ASK, 16QAM and other signal generation and DDS, PLL system, the VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:1417
    • 提供者:张泽端
  1. sin_rom(4wzh)

    0下载:
  2. 基于Quartus II 的信号发生器,通过定制LPM_ROM元件产生正弦波、方波、锯齿波、三角波,分频模块、频率控制模块、按键控制换波形、按键防抖-Quartus II-based signal generator generated by custom LPM_ROM component sine, square, sawtooth, triangle wave frequency module, frequency control module, button control for wa
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-13
    • 文件大小:2190297
    • 提供者:王伟
  1. FPGAzigzag

    0下载:
  2. 三角波发生器,VHDL语言描述,通过信号分频等实现波形发生,已经在示波器上验证了,效果不过。-Triangular wave generator, VHDL language descr iption, such as through the realization of the signal waveform frequency has been verified on an oscilloscope, the effect, however.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:46648
    • 提供者:math
  1. 1

    0下载:
  2. 多功能波形发生器 方波(占空比可调) 三角波 -Multi-function waveform generator square wave (variable duty cycle) triangular wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:652
    • 提供者:wanghua
  1. 51

    0下载:
  2. 由于输出的是方波,比较难听:-) 只能说是非常难听:-)为什么呢?只因为输出的是方波,包含了大量的奇次谐波。 你可以用双龙的"虚拟仪器"分别产生正弦波、三角波和方波听一下,正弦波感 觉就比较纯正,而方波则要多难听有多难听!-As the output is a square wave, more bluntly :-) can only say that it is very nasty :-) Why? Simply because the output is square wa
  3. 所属分类:SCM

    • 发布日期:2017-04-14
    • 文件大小:4665
    • 提供者:龚伟
  1. 51pinlu

    0下载:
  2. 51构成一个频率计,可以测正弦波、三角波、脉冲波周期,四位数码显示-51 constitutes a frequency meter can be measured sine wave, triangle wave, pulse wave cycle, four digital display
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:1793
    • 提供者:认真就好
  1. The_code_Wave_of_AT89S52

    0下载:
  2. 文件内容是:基于单片机AT89S52的函数信号发生器的源代码,代码能够显示方波,正弦波,三角波。-The content of the document is: based on single chip AT89S52 function signal generator source code, code to display square wave, sine wave, triangular wave.
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:2496
    • 提供者:Gerry_song
  1. VHDL

    0下载:
  2. 能够产生方波、三角波、正弦波、及阶梯波,并且可以通过选择开关选择相应的频率输出和波形输出;通过按键确定输出的波形及确定是否输出波形.-Able to generate square wave, triangle wave, sine wave, and wave ladder, and can select the appropriate choice of switching frequency output and the waveform output through the keys
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-09
    • 文件大小:418131
    • 提供者:刘镜安
  1. aducsjiaobofangbo

    0下载:
  2. ADUC834的片上DAC功能.可输出方波和三角波.KEIL3实现-ADuC834 chip DAC function. Can output square wave and triangular wave. KEIL3 implementation
  3. 所属分类:SCM

    • 发布日期:2017-04-12
    • 文件大小:785
    • 提供者:祝郑浩
  1. sssss

    0下载:
  2. 基于单片机的波形发生器,正弦波,方波.三角波-Based on single-chip waveform generator, sine wave, square wave. Triangular wave
  3. 所属分类:SCM

    • 发布日期:2017-04-11
    • 文件大小:1455
    • 提供者:陈可人
  1. 1

    0下载:
  2. TLV5618驱动及简单测试例子(三角波产生程序,波形顶部不大好)-TLV5618-driven and simple test example (triangle-wave generation process, waveform at the top is not very good)
  3. 所属分类:SCM

    • 发布日期:2017-04-12
    • 文件大小:1428
    • 提供者:武昌鱼
  1. AD9833

    0下载:
  2. AD9833型可编程波形发生器是一款为各种需要得到高精度正弦波、三角波、方波信号的应用而设计的器件,实现各种波形产生-AD9833-based programmable waveform generator is a need for a variety of high-precision sine wave, triangle wave, square wave signals applied to devices designed to achieve a variety of wavefo
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:829
    • 提供者:lisan
  1. ex5_SPI

    0下载:
  2. 程序所在目录:ex5_SPI D/A 转换芯片选用的是SPI 接口的TLC5620,串行4 通道8 位DA 转换。 打开CC2000,进行如下操作: 1.Project->Open ,打开该目录中的工程文件。 2.Project->Rebuild ALL,编译链接 3.File->Load Program 4.Debug->GO Main 5.Debug->RUN (快捷键F5) 用示波器可以看到DA_OUT1 接口输出三角波
  3. 所属分类:DSP program

    • 发布日期:2017-03-31
    • 文件大小:31973
    • 提供者:To_oo
  1. send

    1下载:
  2. 用C实现信号发生装置:1、正弦波:频率、幅度可调。 2、三角波:频率、幅度可调。 3、方 波:频率、幅度、占空比可调。 4、按键:波形切换、频率调节、幅度调节、方波占空比调节。 5、显示:信号的频率、幅度,在方波时还要显示占空比。 -Implementation using C Signal: 1, sine wave: frequency, amplitude adjustable. 2, triangle-wave: frequency, amplitude adjust
  3. 所属分类:SCM

    • 发布日期:2017-04-11
    • 文件大小:1344
    • 提供者:yun
  1. sanjiaobo

    0下载:
  2. 这是一个用单片机来产生三角波的一个程序。-This is a single-chip microcomputer used to generate a triangular wave of a program.
  3. 所属分类:SCM

    • 发布日期:2017-04-12
    • 文件大小:1100
    • 提供者:津泽
  1. SignalGen

    0下载:
  2. 单片机实信号发生,可发生正弦.方波,三角波.-Single-chip real signal can be sine occurred. Square wave, triangle wave.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-12
    • 文件大小:734
    • 提供者:jiabo
  1. genert

    0下载:
  2. 一、实验任务 利用开发系统编制程序,产生三角波、锯齿波、正弦波 二、程序编制要求 分别产生三种波形,并能通过按键调节输出频率 三、改变频率的方法 改变数据送入0832的延时,即通过延时子程序的参数改变实现。延时参数的改变即通过键功能选择,用查表法将表格中的延时参数送入延时子程序。 四、程序设计提示   1、功能键应设四个:{ 2、功能键的选择: 采用转换设计法时,应选用键值连续的按键-genert
  3. 所属分类:SCM

    • 发布日期:2017-04-13
    • 文件大小:1857
    • 提供者:susong
  1. 1

    0下载:
  2. 数控电源程序设计,可以产生直波,方波,三角波,梯形波,正弦波,左右锯齿波-CNC programming power, could have a direct wave, square wave, triangle wave, trapezoidal wave, sine wave, sawtooth wave around
  3. 所属分类:SCM

    • 发布日期:2017-04-15
    • 文件大小:4762
    • 提供者:王炳文
  1. sin

    0下载:
  2. 简易正弦波\三角波\方波发生器,使用keil C51,12MHZ晶振,AT89C2051-Simple sine wave \ triangle wave \ square-wave generator, use keil C51, 12MHZ crystal, AT89C2051
  3. 所属分类:SCM

    • 发布日期:2017-04-13
    • 文件大小:1860
    • 提供者:张励志
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 38 »
搜珍网 www.dssz.com