CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 乘法器

搜索资源列表

  1. 快速乘法器VHDL实现

    0下载:
  2. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-08-20
    • 文件大小:2521
    • 提供者:wps1982
  1. rc6_avr

    0下载:
  2. AVR单片机的优化RC6 加密算法(速度快,其优化思想绝对值得学习) 在有128bytes RAM 的AVR单片机上执行 rc6 16/10/8(16 bit/10 rounds/8 bytes keys) * 对多数代码进行了 C 语言优化,对数据相关循环移位,模乘等用ASM优化 * 在4MHz无乘法器的AVR上得到平均 1172 Bytes/s的加解密速度。 * 编译器: AVR-G-AVR optimization RC6 encryption algorithm
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:5854
    • 提供者:陈谭
  1. VHDL语言写的简易计算器

    3下载:
  2. 用VHDL写的简易计算器,包括加减乘除,除法器用加法器和乘法器组成-Write simple calculator with VHDL, division, including add, subtract, multiply and divide adder on time-multiplier and used
  3. 所属分类:VHDL编程

    • 发布日期:2013-05-22
    • 文件大小:1018784
    • 提供者:倪萍波
  1. VHDL

    0下载:
  2. 本代码为用VHDL语言设计实现加法器、减法器、乘法器,并提供了模块图,进行了波形仿真。-This code is for the use of VHDL Language Design and Implementation of adder, subtracter, multiplier, and provides a block diagram carried out a wave simulation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:14983
    • 提供者:张霄
  1. mltiply_machine

    0下载:
  2. verilog语言写的乘法器,每一步经过验证,毫发无损,拿出来与大家共享,在quartus II 上编程,仿真在cyclone 2上!!谢谢!-written multiplier verilog language, every step of the proven, intact, and show to share the quartus II on programming, simulation in cyclone 2 on! ! Thank you!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:228260
    • 提供者:谷向前
  1. pipe_mul8

    0下载:
  2. verilog实现的流水线8位乘法器,效率高,代码简洁经典-verilog implementation of pipelined 8-bit multiplier, efficient, simple and classic code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:486811
    • 提供者:flier
  1. Multipliers

    0下载:
  2. 各种乘法器,不同算法类型的,适用于不同情况。(Various multipliers, different algorithmic types, are applied to different situations.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-19
    • 文件大小:15360
    • 提供者:FollowSky
  1. original_code_multiplier

    0下载:
  2. 16位原码乘法器,附带测试程序,实现两个16位的乘数相乘。(16-bit original code multiplier with test program)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-26
    • 文件大小:1024
    • 提供者:digital_wang
  1. unsigned_array_multiplier

    0下载:
  2. 4X4位的无符号型阵列乘法器,可以提高乘法的运算速度(4X4 bit unsigned array multiplier, can increase the multiplication of the operation speed)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-29
    • 文件大小:1024
    • 提供者:digital_wang
  1. mul8

    1下载:
  2. 用verilog设计了一个两个8位二进制数的乘法器(A multiplier of two 8 bit binary numbers is designed with Verilog)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-04
    • 文件大小:17174528
    • 提供者:vsslms
  1. 16bit-multiplier

    0下载:
  2. 实现verilog16位乘法器,verilog新手(achieve 16-bit multiplier)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2018-01-06
    • 文件大小:1024
    • 提供者:风20171201
  1. 17

    0下载:
  2. CSD实现一个乘法器,是一个十一位乘以十七的乘法器,可用于滤波器的相关乘法器设计。(CSD implements a multiplie)
  3. 所属分类:硬件设计

    • 发布日期:2018-05-02
    • 文件大小:331776
    • 提供者:卡卡22
  1. 16 bit signed number multiplier

    2下载:
  2. 16位有符号数乘法器,使用Booth编码和华莱士树,提供程序源文件和测试文件(The 16 bit signed multiplier uses Booth encoding and Wallace tree to provide source files and test files.)
  3. 所属分类:微处理器开发

    • 发布日期:2020-04-25
    • 文件大小:6144
    • 提供者:Yongsen Wang
  1. mux16

    1下载:
  2. 基于quartus的FPGA乘法器Verilog程序(FPGA multiplier program based on quartus)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2021-02-07
    • 文件大小:2048
    • 提供者:艾尼more
  1. float_mult32x32.v

    4下载:
  2. verilog 语言写的FPGA内部实现硬件浮点乘法器的源码,两个时钟周期完成一次浮点乘法运算(The FPGA language written in Verilog implements the source of the hardware floating point multiplier, and completes the floating point multiplication operation in two clock cycles.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-12-16
    • 文件大小:1024
    • 提供者:orangell
  1. multiplication

    2下载:
  2. 在FPGA里面实现了多位乘法器的功能,并用modelsim进行了仿真,还对该乘法器进行了优化(The function of multi-bit multiplier is realized in the FPGA, and it is simulated with modelsim, and the multiplier is optimized)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2021-02-07
    • 文件大小:62464
    • 提供者:ldh_hu
  1. multi

    1下载:
  2. 基于Verilog HDL 的乘法器,可以实现一些功能的计算(Multiplier based on Verilog HDL)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2021-02-07
    • 文件大小:325632
    • 提供者:五小客
  1. multiplier

    1下载:
  2. Booth乘法器是属于位操作乘法器,采用流水线结构实现(The Booth multiplier is a bit-operated multiplier that is implemented in a pipeline structure.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2021-02-07
    • 文件大小:2138112
    • 提供者:wlkid1412
  1. 矩阵乘法器

    1下载:
  2. 基于乘法器ip核实现的矩阵乘法器,最大支持16*16的矩阵,基于VHDL编写,仅支持整数,浮点数类型请自行添加浮点数IP核支持。
  3. 所属分类:VHDL编程

    • 发布日期:2019-09-01
    • 文件大小:17306253
    • 提供者:hhhhhppppp
  1. 乘法器testbench

    0下载:
  2. 用于相关四位二进制乘法的简单乘法器仿真使用的testbench
  3. 所属分类:VHDL编程

« 1 2 3 45 6 7 8 9 10 ... 30 »
搜珍网 www.dssz.com