CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 信号调制

搜索资源列表

  1. hongwaixian

    0下载:
  2. 内容: *红外测试程序,用单片机通过发射管发射38K的调制频率码,由一体化接收并解码由发光管显示。 *正常情况下,由于反射和高灵敏度接收,LED4(P1.3)一直闪烁,可以用黑色纸片完全遮挡接收头使 *之不能接收到发射管的信号,这是LED4熄灭。说明功能性正常 -Content:* IR test program, launched with the microcontroller through the launch tube of the modulation freq
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:9109
    • 提供者:hahammc
  1. IR1308LCD

    0下载:
  2. 红外解码LCD显示 红外接收部分使用一体化接受头,当接收到 38KHz的红外调制波时,输出端输出解调信号, 可以直接用单片机IO 口读取其数字信号。 板上配有开关,平时不用时防止干扰和降低功耗, 应将其电源关掉。样例程序中提供 TC9012 芯片的解码程序,使用 12M 晶振,解码稳定, 可以直接移植为工业应用。其他芯片的码值用户根据芯片编码资料自行修改。 -IR decoding LCD display using the integrated parts of the inf
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:24224
    • 提供者:ywx
  1. 4ask

    0下载:
  2. 数字通信系统4进制振幅键控4ASK信号的调制的VHDL代码-Digital Communication Systems 4 binary amplitude shift keying modulation 4ASK signal VHDL code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:543
    • 提供者:lihao
  1. 4fsk

    0下载:
  2. 数字通信系统4进制频移键控4ASK信号的调制的VHDL代码-Digital Communication Systems 4 MFSK 4ASK signal modulation VHDL code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:704
    • 提供者:lihao
  1. ask_fsk

    0下载:
  2. 数字通信系统振幅键控ASK信号和频移键控FSK的调制与解调的VHDL代码-ASK amplitude shift keying digital communication system signal and the frequency shift keying modulation and demodulation of the VHDL code for
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:1692
    • 提供者:lihao
  1. cpsk_dpsk

    0下载:
  2. 数字通信系统相移键控CPSK信号和差分相移键控的调制与解调的VHDL代码-Phase shift keying digital communication system CPSK signals and differential phase-shift keying modulation and demodulation of the VHDL code for
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:1482
    • 提供者:lihao
  1. ask

    0下载:
  2. 设计的一个ask调制器与解调,输入时钟clk,输入开始信号start,输入基带数据信号din及输出已调信号ask-Designed to ask a modulator and demodulator, the input clock clk, enter a start signal start, enter the baseband data signal din and the output modulated signal ask
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:135980
    • 提供者:
  1. hdb

    0下载:
  2. 数字基带信号的传输是数字通信系统的重要组成部分。在数字通信中,有些场合可不经过载波调制和解调过程,而对基带信号进行直接传输。采用AMI码的信号交替反转,有可能出现四连零现象,这不利于接收端的定时信号提取。而HDB3码因其无直流成份、低频成份少和连0个数最多不超过三个等特点,而对定时信号的恢复十分有利,并已成为CCITT协会推荐使用的基带传输码型之一。为此,本文利用VHDL语言对数据传输系统中的HDB3编码器进行了设计。 基于达到达到达到的信号发生器的源程序-Digital baseband
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:2925
    • 提供者:成风
  1. maikuantiaozhifashengqi

    0下载:
  2. VHDL语言编写的正负脉宽数控调制信号发生器-VHDL language of the positive and negative pulse-width modulated signal generator NC
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:8849
    • 提供者:
  1. DSP

    0下载:
  2. DSP硬件论文集,包含22篇DSP相关论文,caj格式。都是花银子买来的。 DSP与点阵式LCD显示器的接口设计.caj DSP体系结构在提高实时信号处理方面的作用.caj DSP器件的原理及应用.caj DSP在机械设备故障诊断中的应用.caj DSP应用的结构和发展方向.caj DSP技术及其应用讲座(六)──数字通信用数字调制解调器中的DSP技.caj DSP技术及其应用讲座第7讲语音识别及其DSP实现.caj DSP技术及其应用讲座第三讲数字信号处理器的发
  3. 所属分类:DSP program

    • 发布日期:2017-04-09
    • 文件大小:1061276
    • 提供者:刘伟
  1. ASK-VHDL

    0下载:
  2. 基于VHDL硬件描述语言,对基带信号进行ASK振幅调制-VHDL hardware descr iption language based on the ASK baseband amplitude modulation signal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:42132
    • 提供者:海玲
  1. PWM

    0下载:
  2. PWM原理 2、调制器设计思想 3、具体实现设计 一、 PWM(脉冲宽度调制Pulse Width Modulation)原理: 脉冲宽度调制波通常由一列占空比不同的矩形脉冲构成,其占空比与信号的瞬时采样值成比例。图1所示为脉冲宽度调制系统的原理框图和波形图。该系统有一个比较器和一个周期为Ts的锯齿波发生器组成。语音信号如果大于锯齿波信号,比较器输出正常数A,否则输出0。因此,从图1中可以看出,比较器输出一列下降沿调制的脉冲宽度调制波。 -PWM principle 2
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:60986
    • 提供者:weiwen
  1. DSB3

    1下载:
  2. 利用ISE软件编写的Verilog程序,可以进行信号的双边带调制-Using ISE software program written in Verilog, can be bilateral with a modulation signal
  3. 所属分类:VHDL编程

    • 发布日期:2013-11-09
    • 文件大小:942562
    • 提供者:蜡笔
  1. LPC2114_FSK_proteus

    0下载:
  2. 该代码是针对超声波FSK模式测距在proteus下的仿真研究,利用LPC2114实现调制解决,主要难度是解FSK信号变化时刻,实现高精度测距。-The code is a FSK mode of ultrasonic ranging in proteus simulation under study, the modulation using LPC2114 to solve the main difficulty is to explain the FSK signal changes in
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-27
    • 文件大小:168221
    • 提供者:郑郁正
  1. fsk

    2下载:
  2. 过零检测法设计了一种FSK数字解调器,实现了对FSK数字调制信号的解调,达到了解调的目的-Zero-crossing detection method designed a digital FSK demodulator is realized on the demodulation of FSK digital modulation signals, to understand the purpose of transfer
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:633
    • 提供者:朱伟
  1. fsk

    0下载:
  2. vhdl语言实现信号的fsk调制和解调。用 Quartus软件仿真-vhdl language signals fsk modulation and demodulation. Software simulation using Quartus
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:4893
    • 提供者:wlp
  1. TMS320F2812_based_SVPWM_division_drive_step_motor.

    1下载:
  2. 基于TMS320F2812的步进电机SVPWM细分驱动:一个期刊论文,:利用数字信号处理器TMS320F2812的外设事件管理器实现硬件空间矢量脉宽调制(SVP—WM)算法,并结合细分驱动技术,实现了对三相步进电机的驱动及起停、调速等功能的控制。-Stepper motor based on TMS320F2812 SVPWM division drive: a journal article,: Using digital signal processor TMS320F2812 event
  3. 所属分类:DSP program

    • 发布日期:2016-06-13
    • 文件大小:192512
    • 提供者:yali
  1. FSK_VHDL

    0下载:
  2. FSK调制与解调VHDL程序及仿真,基于VHDL硬件描述语言,对基带信号进行FSK调制-Modulation and demodulation FSK VHDL procedures and simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:51911
    • 提供者:joek
  1. The-measuring-of-vehicle-speed-and-spread-of-axies

    1下载:
  2. 电路中使用了锁相环音频译码器LM567。LM567是一种模拟与数字电路组合器件,其电路内部有一个矩形波发生器,矩形波的频率由5、6脚外接的R、C值决定。输入信号从3脚进入LM567后,与内部矩形波进行比较,若信号相位一致,则8脚输出低电平,否则输出高电平。8脚是集电极开路输出,使用时必须外接上拉电阻。 将LM567第5脚上幅值约为4V的标准矩形波,通过R1引至三极管VT1的基极,使接在VT1发射极的红外线发射管导通并向周围空间发出调制红外光。-PLL circuit used in the
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2015-10-25
    • 文件大小:3401728
    • 提供者:scylla
  1. FSKModulatinAndDemodulationProgramingAndSimulation

    0下载:
  2. 基于VHDL硬件描述语言,对基带信号进行FSK调制解调并进行仿真-VHDL hardware descr iption language based on the base-band signals and simulation FSK modulation and demodulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:53277
    • 提供者:高伟
« 1 2 3 4 5 6 78 9 10 11 12 13 14 »
搜珍网 www.dssz.com