CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 加

搜索资源列表

  1. 4bit数据的加减乘除

    0下载:
  2. 一个很不错的例子,实现的是4bit的加减乘除,用modelsim做的仿真.-a very good example of the realization of the Band is the arithmetic, modelsim do with the simulation.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:33928
    • 提供者:文字
  1. 用8031加载FPGA

    0下载:
  2. 使用8031单片机加载FPGA的源代码,为FPGA的加载提供了另一种方便的方法.-use FPGA 8031 load the source code for the FPGA loaded provide another convenient way.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:11621
    • 提供者:汪旭
  1. 一个mpeg4加解码程序

    0下载:
  2. 一个MPEG4加的解码程序!已经运行在DSP平台上!很好的一个程序!可以学习DSP和编解码!-an increase of MPEG4 decoding procedures! Already running on the DSP platform! A good procedure! Learn DSP and codec!
  3. 所属分类:DSP编程

    • 发布日期:2008-10-13
    • 文件大小:583887
    • 提供者:
  1. 8位加法器

    1下载:
  2. 8位加法器的原代码,主要内容下载看了就知道-Adder eight of the original code, read the main content downloaded know
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:6208
    • 提供者:luo
  1. add(FLP).32位元的浮点数加法器

    0下载:
  2. 一个32位元的浮点数加法器,可将两IEEE 754格式内的值进行相加,A 32-bit floating-point adder can be both within the IEEE 754 format to add value
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-23
    • 文件大小:9769
    • 提供者:TTJ
  1. 32位超前进位加法器(verilog)

    1下载:
  2. 淘的32位超前进位加法器(verilog),已验证
  3. 所属分类:VHDL编程

    • 发布日期:2012-11-02
    • 文件大小:4413
    • 提供者:lurz123@qq.com
  1. ARM 加解密功能算法

    0下载:
  2. 文件包为ARM加解密库,都是C代码。 内部包含对称算法如AES、SHA、XXTA等。非对称算法包含RSA、ECC等。(The file package is the ARM encryption and decryption library, all of which are C code. The internal contains symmetric algorithms such as AES, SHA, XXTA, etc.. Asymmetric algorithms incl
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-12-18
    • 文件大小:2290688
    • 提供者:大白羊
  1. 加减速

    0下载:
  2. 51单片机实现马达的加减速,功能可进行扩展,移植方便。(51 single-chip microcomputer realizes the acceleration and deceleration of the motor, the function can be expanded and portable.)
  3. 所属分类:单片机开发

    • 发布日期:2017-12-23
    • 文件大小:25600
    • 提供者:MRmuye
  1. 常用加法器设计

    0下载:
  2. 采用Verilog设计的几种常用加法器。(several adder designed by Verilog)
  3. 所属分类:硬件设计

    • 发布日期:2017-12-26
    • 文件大小:1024
    • 提供者:熊猫松松
  1. 超前进位加法器

    0下载:
  2. 8*8超前进位加法器,Verilog初学教程(file name is adder.v adder 8*8 bit)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-31
    • 文件大小:78848
    • 提供者:hao123456
  1. 4Bit超前进位加法器门级电路设计与仿真

    2下载:
  2. 用门级网表的方法对4Bit超前进位加法器门级电路连接关系用verilog语言进行描述(The connection relation of the gate level circuit of 4Bit carry adder is described in Verilog language with the method of gate level netlist)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-02
    • 文件大小:150528
    • 提供者:tingyumian
  1. STM32步进电机梯形加减速

    2下载:
  2. 应用在STM32上的步进电机梯形加减速程序,电机为两相的57系不进店
  3. 所属分类:其他嵌入式/单片机内容

  1. 16位超前进位加法器

    0下载:
  2. 16位超前进位加法器的报告,报告里面含有主代码测试代码仿真结果(16 bit forward adder)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-09
    • 文件大小:114688
    • 提供者:nvde
  1. STM32四轴加减速_20130114

    0下载:
  2. STM32四轴加减速 包括 STM32F103加减速 STM32F405加减速程序及算法参考文档(STM32 four axis acceleration and deceleration)
  3. 所属分类:单片机开发

    • 发布日期:2018-01-09
    • 文件大小:8535040
    • 提供者:apoapo
  1. STM32F103的4轴步进电机加减速控制工程源码

    3下载:
  2. 用于控制步进电机加减速代码,S规划曲线,可用于研究(Control step motor plus deceleration code, S programming curve)
  3. 所属分类:单片机开发

    • 发布日期:2018-04-20
    • 文件大小:2619392
    • 提供者:绿茶茶
  1. 编写一个4比特加法器

    0下载:
  2. 用Verilog编程实现一个4bit加法器(Write a program to implement a 4 bit-adder.)
  3. 所属分类:嵌入式/单片机/硬件编程

    • 发布日期:2018-05-01
    • 文件大小:34816
    • 提供者:limaozi
  1. 步进电机加减速曲线控制motor

    1下载:
  2. STM32步进电机加减速曲线控制motor(STM32 stepper motor plus deceleration curve control motor)
  3. 所属分类:微处理器开发

    • 发布日期:2019-12-14
    • 文件大小:2662400
    • 提供者:lyren
  1. 21ic下载_加湿器源码

    2下载:
  2. 智能加湿器,能实现多种功能,增加了干烧系统。(Intelligent humidifier.It can realize various functions and increase the dry firing system.)
  3. 所属分类:嵌入式/单片机/硬件编程

    • 发布日期:2019-01-18
    • 文件大小:8192
    • 提供者:大怂喵
  1. 简易步进电机S加减速

    2下载:
  2. 简易步进电机S加减速,Keil编程C代码(Simple Stepping Motor S Acceleration and Deceleration)
  3. 所属分类:嵌入式/单片机/硬件编程

    • 发布日期:2020-10-03
    • 文件大小:1598464
    • 提供者:揽月
  1. 简易步进电机S加减速

    1下载:
  2. 步进电机的加减速算法,内容非常详细,注释也比较清晰(Acceleration and deceleration algorithm of stepping motor)
  3. 所属分类:嵌入式/单片机/硬件编程

    • 发布日期:2020-08-10
    • 文件大小:366592
    • 提供者:2421593665
« 12 3 4 5 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com