CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 按键消抖

搜索资源列表

  1. 消抖通用函数XIAOPRO:

    0下载:
  2. EDA中很重要的小程序,保证按键可靠性,防止抖动误差信号产生,外部信号输入时必用此消抖函数-EDA very important small procedures to ensure that key reliability and prevent jitter error signal generated, the external input signal must use this function Consumers shiver
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2809
    • 提供者:李培
  1. verilog2

    1下载:
  2. 用verilog语言编写的按键消抖程序。通过下降沿检测法可以判断出是否按键。压缩包内也包含此按键消抖程序的modelsim仿真文件。-Verilog language with key debounce process. By falling edge detection method can determine whether the key. This compressed package also contains procedures for key debounce modelsim
  3. 所属分类:VHDL编程

    • 发布日期:2013-11-09
    • 文件大小:183287
    • 提供者:广子
  1. key_xiaodou

    0下载:
  2. 该资料是用vhdl编写的按键消抖程序,按键消抖在使用按键的数字电路中非常重要,如果不对按键信号进行处理,有可能会出现大量错误的按键信号。文件key_xd.vhd是按键消抖程序,文件key_xd.vwf是仿真波形文件。该程序已经通过仿真测试,并且在电路板上调试通过,效果理想。-The information is written in the key consumer vhdl shaking procedures, key consumer shaking in digital circuits
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:253078
    • 提供者:lwj
  1. keyscan

    0下载:
  2. 4*4矩阵键盘单片机c语言驱动 按键消抖-I don t know!!
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-23
    • 文件大小:12561
    • 提供者:杜帮胜
  1. Buffeting_key_experimental_extinction

    0下载:
  2. BJ-EPM240V2实验例程以及说明文档实验之二按键消抖实验-BJ-EPM240V2 experimental test routines as well as documentation of the second button Buffeting extinction experiments
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:352264
    • 提供者:王建毅
  1. anjianxiaodou

    0下载:
  2. 基于verilog的按键消抖程序设计,包括整个工程文件-Based on the key consumer Buffeting verilog program design, including the entire project file
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:157724
    • 提供者:lvlv
  1. example6

    0下载:
  2. 使用 key1 和 key2 来控制数据的加减,通过显示可以看出数据的变化。key1是控制数据加,key2 是控制数据减。可以从 0~9 显示。其中有按键消抖的方法-Key1 and key2 to control the use of the data increases or decreases can be seen by showing the data changes. key1 is to control the data increases, key2 is to control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:27255
    • 提供者:panda
  1. key

    0下载:
  2. 用verilog写的按键消抖程序,经过验证的·····环境是ISE-Written with key debounce verilog program, proven environment is ISE
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:343026
    • 提供者:汉江之源
  1. sw_debounce

    0下载:
  2. 这是按键消抖试验,具有很好的可移植性。在EPM240开发板上验证过的-This is the key debounce test, with good portability. In the development board verified EPM240
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1114
    • 提供者:吴敬飞
  1. Wendukongzhi_temp_control

    0下载:
  2. 1. 采用三个测温点对温度进行平均及最大温差控制,以消除温度失恒,模块损坏所造成的损失。 2. DS18B20测温芯片温度数值采集 3. 可实现按键消抖,单击,双击,长击,组合击多种功能 4. 可实现6位LED数码管对温度,错误代码等各种指示功能显示 -1 using three temperature measurement points on the temperature and the average and maximum temperature control, to
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:97497
    • 提供者:曾伟
  1. 2_key

    0下载:
  2. 利用两个相差一个时钟周期的寄存器进行&~运算,进行下降沿的检测。可用于按键消抖等。(Two regs are used to detect xiajaingyan with &~, and it can be used to switch debounce)
  3. 所属分类:VHDL/FPGA/Verilog

  1. sw_debounce

    0下载:
  2. 脉冲边沿检测法的按键消抖程序,使用Verilog编写(Key edge dithering program with pulse edge detection method)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-15
    • 文件大小:4425728
    • 提供者:水白三千
  1. MICROCHIP程序实例-debounce按键消抖

    0下载:
  2. MICROCHIP程序实例-debounce按键消抖(Microchip Program instance-debounce button Shake)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-23
    • 文件大小:101376
    • 提供者:zhanghongshuai
  1. Single_pwm

    0下载:
  2. 这是一个生成pwm波的程序,使用按键改变占空比的大小,通过增加按键的消抖程序能够精确控制占空比的变化。(This is a program to generate pwm wave, use the button to change the size of the duty cycle, by increasing the key of the shaking program can accurately control the duty cycle changes.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-27
    • 文件大小:1002496
    • 提供者:FPGAWY1
  1. keypad

    0下载:
  2. 4*4的薄膜按键,采用状态机实现译码功能和按键消抖功能(4 * 4 of the film button, using the state machine to achieve decoding and key function)
  3. 所属分类:VHDL/FPGA/Verilog

  1. Lesson09:BJ-EPM240学习板实验2——按键消抖实验

    0下载:
  2. Quartus的按键消抖设计实验视频讲解,讲解的很详细,对于新手来说还是蛮不错的(Quartus key to shake down the design of experimental video explanation)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-21
    • 文件大小:46035968
    • 提供者:YouKnowWho
  1. key

    0下载:
  2. 按键消抖、长按、短按处理,包括连续累加累减,按键功能旗标的置起(key debondes add inc dec long short sa as d deafn dapcn sasd sanfyy.)
  3. 所属分类:单片机开发

    • 发布日期:2018-04-21
    • 文件大小:2048
    • 提供者:voquinn
  1. 按键消抖

    0下载:
  2. 按键消抖比较实用的代码 对于入门的同学很实用(A more practical code with a button.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-28
    • 文件大小:2048
    • 提供者:小果灬果
  1. xiaodou

    0下载:
  2. 利用Verilog语言进行编写的V代码,实现的按键消抖功能(The V code written in Verilog language is used to realize the function of button dithering.)
  3. 所属分类:硬件设计

    • 发布日期:2018-05-01
    • 文件大小:486400
    • 提供者:somnus浅眠
  1. sw_debounce

    0下载:
  2. 按键消抖,更改计数值可随意调节按键消抖的时间。(Button to shake down, change the value of the meter, you can adjust the button to shake time.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-03
    • 文件大小:1024
    • 提供者:vierh
« 12 3 4 5 6 7 8 »
搜珍网 www.dssz.com