CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 控制系统仿真

搜索资源列表

  1. CHAP11_2

    0下载:
  2. 基于C++的三轴飞行模拟转台伺服系统PID实时控制仿真程序-C++ based on the three-axis flight simulation turntable servo system PID control in real-time simulation program
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:547
    • 提供者: huangyinrong
  1. Elevator_controller

    0下载:
  2. 电梯控制器VHDL程序与仿真,6层楼的电梯控制系统-Elevator controller VHDL procedures and simulation, six-story elevator control system
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:162470
    • 提供者:葛棋棋
  1. 20090903FPGA

    0下载:
  2. 传统的波形发生器采用模拟技术的方法,这种方法构成的波形发生器电路结构复杂,仅能产生正弦波、方波、锯齿波和三角波等几种简单波形。而现在在高科技领域,我们需要的可能是一些任意波形,如在保密雷达发波等军事方面和地震波形、汽车碰撞波形等模拟仿真应用方面。任意波形发生器现在被广泛用于自动控制系统、振动激励、仪器仪表领域。我国目前在这方面还比较落后,特别是在用DDS技术实现任意波形发生器方面。本课题我们打算用DDS技术基于FPGA核心板设计一个任意波形发生器。该仪器我们用LabVIEW来写的控制面板实现与F
  3. 所属分类:SCM

    • 发布日期:2017-05-02
    • 文件大小:532439
    • 提供者:zhangying
  1. CHAPTER8

    0下载:
  2. 离散系统的控制仿真 离散系统的控制仿真-Control of Discrete Systems Simulation
  3. 所属分类:SCM

    • 发布日期:2017-04-14
    • 文件大小:3896
    • 提供者:wdmaster2003
  1. ElevatorcontrollerandsimulationwithVHDL

    1下载:
  2. 电梯控制器VHDL程序与仿真 功能:6层楼的电梯控制系统。包括原理图及仿真结果。-Elevator controller and simulation of VHDL program features: six-story elevator control system. Including schematics and simulation results.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-07-04
    • 文件大小:165709
    • 提供者:Zhu
  1. wash

    0下载:
  2. 智能小家电控制系统的设计,PROTEUS仿真,有完整设计报告-Intelligent control system for the design of small household electrical appliances, PROTEUS simulation, a complete design report
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-01
    • 文件大小:837546
    • 提供者:lm
  1. Steppermotor

    0下载:
  2. 步进电机定位控制系统的VHDL描述与仿真,源文件附有注释-Stepper motor positioning control system, VHDL descr iption and simulation, source files annotated
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1405
    • 提供者:张月华
  1. steppermotorofvhdl

    0下载:
  2. 这是步进电机定位控制系统VHDL程序与仿真,程序非常详细,欢迎大家下载-This is a stepper motor positioning control and simulation of VHDL program, the program is very detailed, welcome to download
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:4700
    • 提供者:fxl
  1. DoubleClosedLoopSystem

    0下载:
  2. 在单闭环直流调速系统中,电流截止负反馈环节是专门用来控制电流的,但它只能在超过临界电流值 Idcr 以后,靠强烈的负反馈作用限制电流的冲击,并不能很理想地控制电流的动态波形。而采用转速、电流双闭环的调速系统可以获得良好的静、动态性能。-In a single closed loop DC system, the current cut-off negative feedback link is designed to control current, but it only exceeds th
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:1001225
    • 提供者:郑哲
  1. wenkongdianshan

    0下载:
  2. 自动温度控制电扇系统,根据温度控制电机转速,51单片机仿真图和程序。-Automatic temperature control fan system, motor speed according to temperature control, 51 MCU plans and procedures.
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:106231
    • 提供者:周海
  1. SteppermotorVHDL

    0下载:
  2. 步进电机定位控制系统VHDL程序与仿真2010.5.4-Stepper motor position control system and simulation of VHDL 2010.5.4 Procedure
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:4705
    • 提供者:阿锦
  1. UVision

    1下载:
  2. 8通道自动温度检测系统仿真(含原程序) 双单片机串口例子 单片机水塔控制系统 无线遥控应用程序与仿真 2io5键盘模拟音量数码管显示-8-channel automatic temperature detection system simulation (including the original program) two-Chip Serial example SCM tower control system wireless remote control applications a
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2012-12-29
    • 文件大小:4228
    • 提供者:zhouanbiao
  1. BoXingFaSheng

    0下载:
  2. 多功能波形发生器VHDL程序与仿真 功能:实现4种常见波形正弦、三角、锯齿、方波(A、B)的频率、幅度可控输出(方波 --A的占空比也是可控的),可以存储任意波形特征数据并能重现该波形,还可完成 --各种波形的线形叠加输出。 --说明: SSS(前三位)和SW信号控制4种常见波形种哪种波形输出。4种波形的频率、 --幅度(基准幅度A)的调节均是通过up、down、set按键和4个BCD码置入器以及一 --个置入档位控制信号(ss)完成的(AMP的调节范围是0~5V,调节量
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:10019
    • 提供者:梁辰
  1. BuJinDianJiKongZhi

    0下载:
  2. 步进电机定位控制系统VHDL程序与仿真 关键词: 1激磁方式的选择开关 2步进角的倍数设定输入 3步进电机状态输出-stepping motor
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4633
    • 提供者:梁辰
  1. IterativeLearningControlforLinearMotorMotion

    0下载:
  2.  迭代学习控制的直线电机的运动   系统,及其收敛性分析仿真-Iterative Learning Control for Linear Motor Motion System
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:159224
    • 提供者:caili
  1. lift

    1下载:
  2. 本课题要求设计一个电梯控制系统,传统的电梯控制系统仅仅要求实现对一栋电梯的控制,而本题要求设计一个实现两栋电梯联动的基于VHDL的电梯控制系统。具体要求如下: 需要大楼为4层,2部电梯,每部电梯内部都有如下按键:1-4楼的按键选择,开门键,关门键,报警键。每部电梯的每层楼外面都有上楼键和下楼键(1楼只有上楼键,六楼只有下楼键)。电梯的设计参照日常生活中电梯实际运行规律设计。 两部电梯之间互相联动,即同时按下任何一部电梯的外部向上或向下键之后,两部电梯同时接受此指令,然后由系统判断,与该请求所
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1385144
    • 提供者:chdj
  1. CAD

    0下载:
  2. 文章,有控制系统的问题主要是仿真和控制器设计的东西-Article, there is the problem is that the control system simulation and controller design things
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:73712
    • 提供者:吴文志
  1. jiyuMPPTdejishu

    0下载:
  2. 基于MPPT技术的光伏路灯控制系统的研究和仿真资料-PV MPPT technology-based street light control system research and simulation data
  3. 所属分类:SCM

    • 发布日期:2017-05-12
    • 文件大小:3055284
    • 提供者:No Name
  1. EEPROM

    0下载:
  2. 单片机控制中的硫酸铜控制系统应用,都是用C语言来写的,可以用组态仿真-Single-chip microcomputer control, the copper sulfate control system is applied in C language is written, and can use the configuration simulation
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:1264
    • 提供者:name
  1. SW-FM-Receiver-Controller

    0下载:
  2. 短波调频接收机控制系统,基于PIC16F877A 被控制芯片为MC145155-2锁相环。 采用一个LCD1602显示整机工作状态。 包含单片机部分的Proteus仿真.-SW-FM Receiver Controller based on PIC16F877A MCU to control MC145155-2 PLL. I use LCD1602 to display working status. include Proteus simulation .dsn
  3. 所属分类:SCM

    • 发布日期:2017-03-25
    • 文件大小:57102
    • 提供者:zhoujie
« 1 2 3 45 6 7 8 9 10 ... 13 »
搜珍网 www.dssz.com