CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 描述子

搜索资源列表

  1. 液晶显示c语言描述程序

    0下载:
  2. 汉字液晶子程 液晶屏分为4行*12列汉字,全部使用模拟接口方式-LCM sub code with Chinease Character sets.The code use MCU I/O ports.
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:6518
    • 提供者:丁韧
  1. Usb_Firmware

    0下载:
  2. 基于C8051F的一个USB固件程序,实现了控制通道描述符的传输,以及基本的BULK通道数据传输,对于USB设备开发初学者是一个很好的参考.可以参考该列子的描述符信息根据自己的设备相应的改动就能实现自己设备的枚举过程.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:58421
    • 提供者:罗弘谞
  1. crc16_8bit.v

    0下载:
  2. 利用verilog硬件描述语言编写的8为并行输入的常crc校验模块。hdlc子模块
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2008-10-13
    • 文件大小:1064
    • 提供者:张纪强
  1. Oscilloscope

    0下载:
  2. 描述:在D2SO和AIO1上执行的数子示波镜
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1916132
    • 提供者:陈晓
  1. divfreq

    0下载:
  2. 除頻器,用於數位電子乙級考試的時候,將主板上4MHZ的訊號進行除頻的硬體描述語言-Div Freq
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:49912
    • 提供者:koala
  1. DSPFPGA

    0下载:
  2. 针对电梯数据采集数目较多和数据处理复杂等特点,提出了基于数字信号处理器(DSP)和现场可编程门阵列(FPGA)的电梯智能数据采集系统。在介绍了系统整体结构及各组成子模块后,给出了模块与器件之间硬件接口设计思路和架构,描述了整个系统的软件框架,设计了DSP、AD采样、网络通信和抗干扰等程序。整个系统在工程应用中易于实现,具有很好的推广价值-n accordance with the characteristic of elevator for the large number of data a
  3. 所属分类:DSP program

    • 发布日期:2017-03-30
    • 文件大小:178781
    • 提供者:将建
  1. MIMASUO

    0下载:
  2. 伴随着集成电路(IC)技术的发展,EDA技术已经成为现代电子设计的发展趋势,并在各大公司、企事业单位和科研教学部门广泛使用。VHDL是一种全方位的硬件描述语言,几乎覆盖了以往各种硬件描述语言的功能,整个自顶向下或自底向上的电路设计过程都可以用VHDL来完成。本文阐述了EDA的概念和发展、VHDL语言的优点和语法结构并分析讲解了智能抢答器的各模块的功能要求、基本原理以及实现方法。本系统的设计就是采用VHDL硬件描述语言编程,基于Quartus II平台进行编译和仿真来实现的,其采用的模块化、逐步细
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:179213
    • 提供者:RONG
  1. button

    0下载:
  2. 按鍵功能描述,根據按下的按鍵顯示於FPGA版子上實現-button for fpga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:22995
    • 提供者:王曉明
  1. Lab_5-Dice-Game

    0下载:
  2. dice_game该程序可重现赌场游戏掷骰子. 游戏规则详见上传的LAB5实验描述。-dice_game The program can reproduce the casino game craps the game rules see the uploaded LAB5 experiments described.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:774328
    • 提供者:廖成晨
  1. divide_testbench

    0下载:
  2. 除法描述,写的非常详细,真的很好用,可以作为一个工程的子模块使用-Descr iption of the division, written very detailed, really good, can be used as an engineering sub-module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:3091
    • 提供者:chao
  1. NUC140_CAN_Master_CoOS

    0下载:
  2. 设备: Nuvoton NUC140VE3AN 评估板: Nu-LB_002 Rev2.0 编译器: CoIDE V1.1.0 任务: task_init 初始化目标板的资源,并创建其它任务,然后自我删除并退出调度。 get_datab 根据从子机接收到的ID数据,获得该ID的数据库信息。 update_db 等待输入消费金额,然后通过CAN发送消费金额到主机。 uart_print 打印余额。 描述: 本例子模拟了一个打卡
  3. 所属分类:SCM

    • 发布日期:2017-10-31
    • 文件大小:197469
    • 提供者:peter
  1. arm

    0下载:
  2. ARM内核的源代码描述,通过的各种仿真器的仿真,是学习嵌入式的好的列子,可以实现各种基本设计-ARM core
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-27
    • 文件大小:7985
    • 提供者:阿汤哥
  1. intrrupt

    0下载:
  2. 一个外部中断的列子,描述了利用单片机指令,进行多IO口操作的中断处理。-An external interrupt Liezi, describes the use of microcomputer instruction, multi-IO port interrupt handling operations.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-15
    • 文件大小:6468
    • 提供者:mategr
  1. 液晶显示c语言描述程序

    0下载:
  2. 汉字液晶子程 液晶屏分为4行*12列汉字,全部使用模拟接口方式-LCM sub code with Chinease Character sets.The code use MCU I/O ports.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-15
    • 文件大小:6567
    • 提供者:监控键盘
  1. intrrupt

    0下载:
  2. 一个外部中断的列子,描述了利用单片机指令,进行多IO口操作的中断处理。-An external interrupt Liezi, describes the use of microcomputer instruction, multi-IO port interrupt handling operations.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-15
    • 文件大小:6508
    • 提供者:pperc
搜珍网 www.dssz.com