CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 方波

搜索资源列表

  1. 0DSP_利用dsp产生任意信号的三角波

    1下载:
  2. 利用dsp产生任意信号的三角波,正弦波,方波的发生,采用汇编代码编程,在ccs调试软件下调试-Dsp produce any signal using the triangular wave, sine wave, square wave occurs, the use of assembly code programming, debugging software debugging in ccs
  3. 所属分类:DSP编程

    • 发布日期:2017-03-22
    • 文件大小:395684
    • 提供者:不再犹豫
  1. xhfsq.rar

    0下载:
  2. 能产生正弦波、三角波、锯齿波和方波,波形种类和频率可以通过矩阵键盘设置,Can produce sine wave, triangle wave, sawtooth and square wave, waveform types and frequency can be set through the keyboard matrix
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:4765
    • 提供者:cyy4409
  1. DDS

    0下载:
  2. 1、 能够产生正弦波、方波、三角波和锯齿波四种波形;AD9850产生正弦波,单片机控制DA产生正弦波,三角波,锯齿波,方波。 2、 可手动设置输出频率,提供扫频输出功能; 3、 方波:提供PWM波输出,及占空比可调(可调范围2 ~98 ,步进为2 )。 4、 可以通过键盘输入控制单片机产生的波形,在液晶上显示此时输出的波形和频率; 5、 输出频率和手动输入频率误差很小,较高频率的无失真波形。 -the function creat sine wave,trangle wave
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-25
    • 文件大小:14691
    • 提供者:苏诗卧龙
  1. DDS

    0下载:
  2. 我们小组共了一个月做的DDS,程序核心用的是Verilog HDL,有仿真波形,输出正弦波,方波,及三角波,步进可调.频率范围1HZ--10MHZ-Our group for a month to do a total of DDS, the procedure is used in the core of Verilog HDL, there are simulation waveform, the output sine wave, square wave and triangular wa
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:117375
    • 提供者:tiancheng
  1. DA

    0下载:
  2. 波形发生器啊 可以产生方波,锯齿波 三角波 正弦波-Ah waveform generator can produce square wave, sawtooth wave sine triangle
  3. 所属分类:SCM

    • 发布日期:2017-04-05
    • 文件大小:148787
    • 提供者:豪仔
  1. 51DDS

    0下载:
  2. 用51单片机模拟dds产生正弦波,方波,三角波,幅度频率可控。-Dds generated using 51 MCU simulation sine, square, triangle wave, amplitude frequency control.
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:26135
    • 提供者:王风存
  1. sin_vhdl

    0下载:
  2. 由可编程器件控制的信号发生器可输出正弦波、方波、锯齿波,其频率可调。能输出正 弦波、方波、锯齿波的组合波形,且组合波形的频率可调。还能输出占空比和频率可调的方 波。-Controlled by a programmable device signal generator can output sine wave, square wave, sawtooth wave, its frequency is adjustable. Be able to output sine wave, sq
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:135434
    • 提供者:chen
  1. sin_c_program

    0下载:
  2. 简易正弦波\三角波\方波发生器 使用keil C51,12MHZ晶振,AT89C2051 -Simple sine wave square wave triangle wave generator using keil C51, 12MHZ crystal, AT89C2051
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:15800
    • 提供者:xian
  1. fangbosanjiaobojuchibo

    0下载:
  2. 运用汇编语言编写的方波、锯齿波、三角波程序,具有很好的操作性
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-15
    • 文件大小:5796
    • 提供者:dalang
  1. at89c52t0

    0下载:
  2. 使用单片机,正弦波发生器,key0口按键减小输出频率,key1口按键增加输出频率 sinout口输出正弦波,(cosout口输出余弦波),使用定时器T0,16位定时模式。 R6、R7用作10毫秒延时寄存器。Fout输出方波。且输出的正弦波在0.01-83Hz范围内,方波在1.3Hz-10.6kHz范围内。-The use of single-chip, sine wave generator, key0 I reduce the output frequency keys, key1
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-31
    • 文件大小:1864
    • 提供者:ff
  1. xinhao001

    0下载:
  2. 产生正弦波、方波、锯齿波,频率可调,方波占空比可调-Generated sine wave, square wave, sawtooth wave, frequency adjustable, adjustable duty cycle square wave
  3. 所属分类:SCM

    • 发布日期:2017-05-11
    • 文件大小:2963505
    • 提供者:wangyang
  1. vhld_fpga_box

    0下载:
  2. Verilog 编写的波形发生器,可发生正弦波,三角波,方波,可以调频-Prepared Verilog waveform generator, can occur sine, triangle wave, square wave, you can FM
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:267223
    • 提供者:ivan
  1. boxingfashengqi

    0下载:
  2. 可以产生以下波形:方波、正弦波、三角波、锯齿波,且幅值电压:0V到5V-To generate the following waveforms: square wave, sine wave, triangle wave, sawtooth wave, and the amplitude voltage: 0V to 5V
  3. 所属分类:SCM

    • 发布日期:2017-05-16
    • 文件大小:4246414
    • 提供者:zhengchao
  1. WaveformGenerator

    0下载:
  2. 波形发生器的源程序,能产生方波、三角波、和矩形波三种波形-The source waveform generator, which can produce square, triangle wave, and rectangular-wave three kinds of waveforms
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:1005
    • 提供者:步惊云
  1. Multi-waveform-generator

    0下载:
  2. 51单片机,C语言写的,可以产生锯齿波,三角波,方波,正弦波。该工程文件在keil环境下编译,用proteus仿真通过。-can produce triangle wave, square wave, sine wave. The project is compiled under keil environment, and pass proteus simulation.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-31
    • 文件大小:225264
    • 提供者:jane
  1. 电动三轮车有感方波参考设计(中颖方案)

    2下载:
  2. SH79F1611 EBIKE有感方波方案,包含原理图,程序代码(SH79F1611 EBIKE BLDCdriver)
  3. 所属分类:嵌入式/单片机/硬件编程

    • 发布日期:2020-01-05
    • 文件大小:4971520
    • 提供者:gohoshi
  1. STM3210X方波霍尔按键调速 (HALL电机)

    2下载:
  2. MCU是采用STM32芯片,通过按键调速,用6步方波霍尔来驱动无刷电机。
  3. 所属分类:其他嵌入式/单片机内容

  1. DAC波形发生器(正弦,三角,方波)

    1下载:
  2. 使用STM32的dac生成正弦波、三角波、方波(Using the DAC of STM32 to generate sine wave, triangle wave and square wave)
  3. 所属分类:单片机开发

    • 发布日期:2020-12-11
    • 文件大小:2200576
    • 提供者:把酒醉清风
  1. en.X-CUBE-SPN7

    0下载:
  2. 无感方波算法实现,基于stm32的硬件平台,模块化设计(Based on STM32 hardware platform, modular design)
  3. 所属分类:嵌入式/单片机/硬件编程

    • 发布日期:2020-10-10
    • 文件大小:14558208
    • 提供者:happy027365
  1. AD9834两路正弦,三角,方波AD PCB

    0下载:
  2. AD9834两路正弦,三角,方波AD PCB源文件,非PDF,元件库一起打包
  3. 所属分类:硬件设计

« 1 2 3 45 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com