CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 权重

搜索资源列表

  1. Subjective-weight-factor

    0下载:
  2. 如何合理地确定各评价指标的主观权重是综合评价理论和实际应用中的一个关键问题。为此提出了利用心理 学中的配对比较法来确定各评价指标权重的新思路。本文给出了用配对比较法计算主观权重的原理和算法,并用C 语言编 写程序对教学效果评价指标体系中各指标的权重进行进行计算,取得了较好的效果。该方法原理清楚,步骤简单,计算结 果较为客观,在综合评价中具有很好的推广应用价值。-How to reasonably determine the subjective weight coefficients
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-28
    • 文件大小:631179
    • 提供者:陈晓娟
  1. Project

    0下载:
  2. 飞思卡尔比赛 ,光电组程序,采用权重算法,判断赛道,控制舵机-Freescale' s game, the photoelectric group program, the weighting algorithm to judge the track, control servos
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-08
    • 文件大小:237215
    • 提供者:liuhuiqin
  1. SP_SCH(Executable)

    1下载:
  2. 调度器一般包括SP、RR、WFQ等,SP调度指的是绝对高优先级调度,此种调度不带权重概念,按照优先级进行调度。四个按键作为端口有效指示,2个LED发光二极管指示此时调度的端口号,可以按下KEY3按键,按下按键代表当前按键输入无效,然后观测LED,没有按下的时候LED1 LED0都发光,按下KEY3按键的时候LED1发光 LED0不发光,代表此时调度端口为2,不按下时候代表调度端口为3。 -The scheduler typically include SP, RR, WFQ, etc., SP
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-23
    • 文件大小:6802405
    • 提供者:wangfeng
  1. RR_SCH(Executable)

    1下载:
  2. FPGA VERILOG调度器一般包括SP、RR、WRR、WFQ等,RR调度指的是轮询调度,此种调度不带权重概念,均匀轮询进行调度。-FPGA VERILOG The scheduler typically include SP, RR, WRR, WFQ, etc., RR refers to the round robin scheduling, dispatching without the weight of such concepts, even polling scheduling.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3693122
    • 提供者:网窝囊
  1. Weighted-Round-Robin-Arbiter-master

    3下载:
  2. 带权重的优先级轮转算法的verilog实现(Verilog implementation of priority rotation algorithm with weight)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-12-17
    • 文件大小:437248
    • 提供者:鱼在在藻
搜珍网 www.dssz.com