CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 正弦信号

搜索资源列表

  1. 受控正弦信号发生器

    0下载:
  2. 2010年四川省电子设计竞赛 三等奖作品MSP430源程序
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2010-09-14
    • 文件大小:47507
    • 提供者:duliuben
  1. 单片机控制的AD对正弦波信号采样后用12864的液晶显示出来

    6下载:
  2. 单片机控制的AD对正弦波信号采样后用12864的液晶显示出来,Single-chip microcomputer controlled sine wave signal AD to 12864 after sampling the liquid crystal display out
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2014-06-28
    • 文件大小:23353
    • 提供者:李芳
  1. 基于51单片机制作的函数信号发生器

    7下载:
  2. 基于51单片机制作的函数信号发生器,用DA0832和运算放大器来输出,单片机产生信号,用proteus仿真了(内含),能够产生正弦波,方波,锯齿波,三角波的波形。,51 single-chip microcomputer-based production function signal generator, used to DA0832 and the op-amp output, single-chip signal, proteus simulation with the (implicit
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2012-10-23
    • 文件大小:62838
    • 提供者:ou
  1. VHDL.rar

    0下载:
  2. 正弦信号发生器具有频率调节功能。采用VHDL编程实现。,Sinusoidal signal generator with a frequency adjustment function. Using VHDL programming.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1482420
    • 提供者:朱广利
  1. sine-generator

    0下载:
  2. 原创:采用VHDL语言编写的正弦信号发生器。rom采用quartus自带的lpm生成,可产生正弦波。更改rom内容可改变波形-Original: Using VHDL languages sinusoidal signal generator. rom using Quartus LPM s own generation, can produce sine wave. Rom content changes can change the waveform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:676053
    • 提供者:zzwuyu
  1. shejibaogao

    1下载:
  2. 正弦信号发生器电子设计报告,包括部分重要源代码!-Electronic design, including some important source code!
  3. 所属分类:SCM

    • 发布日期:2014-09-13
    • 文件大小:705573
    • 提供者:矫龙飞
  1. sine

    0下载:
  2. 可以应用在C5402上,基于查表的DSP正弦信号发生程序,用c编写,经测试可直接在ccs上运行。-Can be applied to the C5402, the DSP-based sinusoidal signal look-up table programmed with c prepared by the test can be run directly in the ccs.
  3. 所属分类:DSP program

    • 发布日期:2017-04-01
    • 文件大小:5889
    • 提供者:凌瀚宇
  1. 1-1st-Chapter3

    0下载:
  2. 正弦信号发生器,正弦波输出范围:1k~10M。频率步进100Hz,用示波器观察无明显失真。-Sinusoidal signal generator, sine wave output range: 1k ~ 10M. Stepped-Frequency 100Hz, with no significant distortion oscilloscope observation.
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:28219
    • 提供者:张堃
  1. zhengxuanxinhao

    0下载:
  2. 这是一个正弦信号发生器得总程序,是用51单片机实现的,控制ad9852,-This is a sinusoidal signal generator was the total program, is 51 single-chip microcomputer, the control of ad9852,
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2016-11-10
    • 文件大小:52295
    • 提供者:jiangbo
  1. X28xx_sourcecode

    0下载:
  2. word文档内有九个例子源程序: 例1、初始化锁相环及外设时钟函数 例2、.cmd格式文件举例 例3、定时器中断应用举例 例4、利用事件管理器输出多种频率的正弦信号输出例程 例5、SPI和DAC TLV 5617接口例程 例6、CAN总线消息发送例程 例7、使用FIFO缓冲发送数据 例8、使用FIFO缓冲接收数据 例9、ADC应用举例 -this word document includes nine examples of source
  3. 所属分类:DSP program

    • 发布日期:2017-04-05
    • 文件大小:32607
    • 提供者:sun
  1. ex2sin

    0下载:
  2. DSP系统学习资料 DSP技术及应用(陈金鹰)书中正弦信号源码-DSP systems DSP technology, learning materials and applications (Chen Golden Eagle) sinusoidal signal source book
  3. 所属分类:DSP program

    • 发布日期:2017-04-02
    • 文件大小:56970
    • 提供者:xuhao
  1. DDS

    0下载:
  2. 这是一个任意频率的正弦信号发生器,具有可改变输出信号频率,输出信号相位,任意转换输出信号类型(正弦、余弦、锯齿波、方波),屏幕可分别显示用户设定的信号频率与输出信号检测频率。-This is an arbitrary frequency sinusoidal signal generator, with can change the output signal frequency, the output signal phase, arbitrary conversion output sign
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1987774
    • 提供者:紫郢寒光
  1. zhenxianxinhao

    0下载:
  2. 此文件是正弦信号发生器实验的源码,这是老师的资料,对课堂很有帮助-This file is the sine signal generator with source code, it is the teacher of information helpful to the classroom
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-02
    • 文件大小:574
    • 提供者:董军
  1. SINEWAVE

    0下载:
  2. 利用定时器产生一个2kHz 的正弦信号。定时器被设置成每25uS 产生一次中断(等效于采样速率为40K)。利用该中断,在中断服务程序中用叠代算法计算出一个SIN值,并利用CCS 的图形显示功能查看波形。开发环境为ccs2.2,硬件为DES5410APP-U开发板。-Use timer to produce a 2kHz sinusoidal signal. Timer is set to generate an interrupt each 25uS (equivalent to the sam
  3. 所属分类:DSP program

    • 发布日期:2017-04-02
    • 文件大小:6994
    • 提供者:黄天
  1. single_operator

    0下载:
  2. 用单片机编写程序生成不同的信号。可以生成正弦信号,三角波等~-Usnig single chip to generate signal
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:1568
    • 提供者:luolin
  1. AD_simulation

    0下载:
  2. c51单片机实现DA正弦信号发生器+仿真图-c51 DA MCU+ simulation diagram sinusoidal signal generator
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:51961
    • 提供者:minzi
  1. DA

    0下载:
  2. DSP-TMS320VC5402入门简易程序之DAC程序编写例一:产生正弦信号-DSP-TMS320VC5402 entry summary procedures for the preparation of cases one of the DAC: sine signal
  3. 所属分类:DSP program

    • 发布日期:2017-04-03
    • 文件大小:9819
    • 提供者:蒋天豪
  1. DA-1.0Hz__800.0Hz

    0下载:
  2. msp430f169单片机产生1hz到800hz的可变频正弦信号 自己做的 希望有用-msp430f169 microcontroller can generate 1hz to 800hz frequency sinusoidal signal their wish to be useful to do
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:46388
    • 提供者:陈涛
  1. 产生正弦信号

    0下载:
  2. 产生正弦信号并使用LCD显示。。。。。。。。。。(Generating sinusoidal signal)
  3. 所属分类:嵌入式/单片机/硬件编程

    • 发布日期:2018-05-07
    • 文件大小:7093248
    • 提供者:Bosn1a
  1. DSP课程设计--多波形信号发生器

    1下载:
  2. 基于DSP实验开发板(芯片:TMS320***)的低频信号源,可以产生正弦波、方波、锯齿波、三角波等常用信号,并能够进行频率、幅度的增减以及波形切换等功能。(The low-frequency signal source based on DSP experimental development board (chip: TMS320***) can generate sine wave, square wave, sawtooth wave, triangle wave and other c
  3. 所属分类:DSP编程

    • 发布日期:2020-09-23
    • 文件大小:731136
    • 提供者:yjwu_15
« 12 3 4 5 6 7 8 9 10 ... 35 »
搜珍网 www.dssz.com