CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 正弦信号 频率

搜索资源列表

  1. 基于FPGA的直接数字合成器设计

    0下载:
  2. 1、 利用FLEX10的片内RAM资源,根据DDS原理,设计产生正弦信号的各功能模块和顶层原理图; 2、 利用实验板上的TLC7259转换器,将1中得到的正弦信号,通过D/A转换,通过ME5534滤波后在示波器上观察; 3、 输出波形要求: 在输入时钟频率为16KHz时,输出正弦波分辨率达到1Hz; 在输入时钟频率为4MHz时,输出正弦波分辨率达到256Hz; 4、 通过RS232C通信,实现FPGA和PC机之间串行通信,从而实现用PC机改变频率控制字,实现对输出正弦波频率的控制。-a use
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:22183
    • 提供者:竺玲玲
  1. xinhaofashengqi.rar

    0下载:
  2. 简易信号发生器,可产生正弦波、方波、三角波,幅度、频率都可调节。,Simple signal generator can produce sine, square, triangle wave, amplitude, frequency adjustment can be.
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:243412
    • 提供者:苏维
  1. ddfs.rar

    0下载:
  2. 基本FPGA的DDS信号发生器,可产生1-1MHZ任意频率的三角波,方波,锯齿波,正弦波,Basic FPGA-DDS signal generator, can produce 1-1MHZ arbitrary frequency triangle wave, square wave, sawtooth, sine wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1373660
    • 提供者:吴宏伟
  1. DSP_sin

    0下载:
  2. 使用DSP产生300—4000HZ的正弦信号,要求使用计算法,并且频率可变、幅度可变、直流分量可变。用软件CCS5000编程实现,并硬件(DSK板或示波器)连接进行功能演示。 发挥部分:使用DSP产生300—4000HZ的方波和三角波。 -Using DSP to produce 300-to 4000HZ the sine signal, require the use of calculation method, and a variable frequency, amplitude
  3. 所属分类:DSP program

    • 发布日期:2017-04-24
    • 文件大小:397317
    • 提供者:liulei
  1. AD9851

    0下载:
  2. 该程序是基于51单片机和AD9851的信号发生器,通过按键输入信号频率并显示于屏幕,然后计算出频率字发送至AD9851,则AD9851将产生相应频率正弦波。-The program is 51 microcontroller and AD9851 signal generator through the key input signal frequency and displayed on the screen, and then calculate the frequency of words
  3. 所属分类:SCM

    • 发布日期:2017-03-25
    • 文件大小:24120
    • 提供者:吴平
  1. sin_vhdl

    0下载:
  2. 由可编程器件控制的信号发生器可输出正弦波、方波、锯齿波,其频率可调。能输出正 弦波、方波、锯齿波的组合波形,且组合波形的频率可调。还能输出占空比和频率可调的方 波。-Controlled by a programmable device signal generator can output sine wave, square wave, sawtooth wave, its frequency is adjustable. Be able to output sine wave, sq
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:135434
    • 提供者:chen
  1. TMSX28xx

    0下载:
  2. X28xx功能单元使用.doc 例1、初始化锁相环及外设时钟函数 例2、.cmd格式文件举例 例3、定时器中断应用举例 例4、利用事件管理器输出多种频率的正弦信号输出例程 例5、SPI和DAC TLV 5617接口例程 例6、CAN总线消息发送例程 例7、使用FIFO缓冲发送数据 例8、使用FIFO缓冲接收数据 例9、ADC应用举例 -Functional unit X28xx use. Doc Cases 1, phase-locked
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-03
    • 文件大小:32852
    • 提供者:haoz
  1. ddszh

    0下载:
  2. 基于FPGA的DDS正弦信号发生器,信号失真小,频率稳定,可调-FPGA DDS shuzhi xinhao
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:328706
    • 提供者:wzy
  1. freqm

    0下载:
  2. 以CPLD器件EPM7128SLC84-15为核心实现的简易数字频率计,采用在一定时间内对数字脉冲计数的方法,可直接测量TTL电平的数字脉冲信号的频率、周期和脉宽。其他一些信号可经过信号预处理电路变换后测量。 量程:1Hz~999999Hz 输入信号:(1)TTL电平数字脉冲信号;(2)方波/正弦波,幅度0.5~5V 显示:七段数码管显示频率(Hz)和周期/脉宽(us) 控制:两个拨码开关切换三种工作模式:测频率,测周期,测脉宽-Frequency Counter realize
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1054140
    • 提供者:tom
  1. dpjbxfsq

    0下载:
  2. 本实验是利用TX-1C或扩展版单片机实验板,设计数字低频信号发生器,具体要求有如下三点: 1,用数码管(或者LCD)显示信号类型和频率。 2,信号发生器可输出阶梯波、正弦波和三角波。 3,可通过按键选择波形种类、设置输出波形的频率和幅度。 -dan pian ji
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-02
    • 文件大小:2055
    • 提供者:keviele
  1. ddsdds

    0下载:
  2. 摘 要:介绍了直接数字频率合成 (DDS) 技术的基本原理,给出了基于Altera公司FPGA器件的一个三相正弦信号发生器的设计方案,同时给出了其软件程序和仿真结果。仿真结果表明:该方法生成的三相正弦信号具有对称性好、波形失真小、频率精度高等优点,且输出频率可调。关键词:直接数字频率合成;现场可编程门阵列;FPGA;三相正弦信号 (2009-01-04, VHDL, 99KB, 9次) -hgfhtht rrgtsrt rthg rgrswt sgethwrathwtHY TSRTTHSRH
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:568490
    • 提供者:nbonwenli
  1. sdfdf

    0下载:
  2. 设计并制作一台数字显示的简易频率计。 (二)要求 1.基本要求 (1)频率测量 a.测量范围 信号:方波、正弦波 幅度:0.5V~5V[注] 频率:1Hz~1MHz b.测试误差≤0.1 (2)周期测量 a.测量范围 信号:方波、正弦波 幅度:0.5V~5V[注] 频率:1Hz~1MHz b.测试误差≤0.1 键盘从上到下,从左到有依次为: 1 2 3 4 5 6 7 8 9 0 .
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:338410
    • 提供者:wangqiang
  1. MAX038

    1下载:
  2. 介绍了一种基于MAX038的宽频程控波形发生器。它可以产生三角波、方波和正弦波.输出频率范围为1.6 kHz~40MHz。与其他类型的波形发生器相比,该系统具有程控调节输 出频率的突出优点:以单片机和集成波形发生芯片MAX038为核心构成硬件电路能自动地反馈控制输出频率:采用上位机(Pc机)的软件设置波形发生器的各种参数并实时显示输出频率值。该波形发生器已经成功地应用于超声波发射换能器中。-Introduced a program based on the MAX038 waveform g
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:234401
    • 提供者:张昊
  1. multifunctioninstrumentofhighfrequencysignalsbased

    0下载:
  2. 两块STC89LE58RD+单片机以主从模式构成控制核心,行列式4*4键盘作为输入,OCMJ4*8C液晶作为显示,主机控制AD9854产生幅度可调、频率可调的正弦信号,同时实现数字调制功能,从机进行高速数据采集并与主机通讯,完成模拟调制功能。-the multifunction instrument of high frequency signals based on dds
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:367015
    • 提供者:赵希林
  1. xhfsq

    0下载:
  2. 基于AD9851的正弦信号产生程序,频率范围10kHz~10MHz-Sinusoidal signal generator based on AD9851 procedures, the frequency range 10kHz ~ 10MHz
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:261409
    • 提供者:江开始
  1. dds_vhdl

    0下载:
  2. fpga VHDL语言,控制DDS产生频率可变的正弦波信号扫频-FPGA VHDL DDS
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2759851
    • 提供者:gaoshang
  1. key2

    0下载:
  2. FPGA单片机 vhdl编程 正弦波信号发生器 加2个按键控制频率加减-FPGA Microcontroller vhdl programming sine wave signal generator plus two buttons control the frequency of addition and subtraction
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-24
    • 文件大小:1062
    • 提供者:洪理梦
  1. DDS

    0下载:
  2. 采用DDS实现数字信号发生器, 时钟频率为100MHz,可输出1K到10M的正弦波-use Direct Digital Synthesizer realize SINA wave
  3. 所属分类:SCM

    • 发布日期:2017-05-26
    • 文件大小:8891175
    • 提供者:LiXiuRong
  1. design

    0下载:
  2. 介绍了DDS(直接数字频率合成)基本原理,提出以DDS芯片AD9850为核心、利用单片 机控制辅以必要的外围电路,构成一个输出波形稳定、精度较高的信号发生器。该信号发生器主要能 产生幅度和频率分别可调的正弦波、方波与三角波。实验结果表明,硬件电路结构简单,输出信号频 率稳定率优于10 - 3 ,幅值误差低于5 。 关键词: DDS 集成芯片 AD9850 信号发生-Describes the DDS (direct digital frequency synthesis) bas
  3. 所属分类:DSP program

    • 发布日期:2017-03-28
    • 文件大小:246538
    • 提供者:陈祥
  1. DigitalSignalGenerator

    1下载:
  2. 多功能信号发生器,实现4种常见波形正弦波、三角波、锯齿波、方波的功能。并且输出信号的频率范围为100Hz~200KHz,输出频率可以调节;可以存储任意波形特征数据并能重现该波形,还可完成各种波形的线形叠加输出,具有显示输出波形、频率的功能。-Digital Signal Generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-04-16
    • 文件大小:79065
    • 提供者:smile
« 1 2 34 5 6 7 8 9 10 »
搜珍网 www.dssz.com