CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 波形 wave

搜索资源列表

  1. wave

    0下载:
  2. 基于凌阳16位Spce061片子具有语音功能的波形发生器-Based on the Sunplus 16-bit Spce061 film voice-enabled Waveform Generator
  3. 所属分类:SCM

    • 发布日期:2017-05-01
    • 文件大小:1008870
    • 提供者:孙新荣
  1. vhld_fpga_box

    0下载:
  2. Verilog 编写的波形发生器,可发生正弦波,三角波,方波,可以调频-Prepared Verilog waveform generator, can occur sine, triangle wave, square wave, you can FM
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:267223
    • 提供者:ivan
  1. sinewave

    0下载:
  2. 用avr单片机写的,控制DAC7611输出正弦波,DDS,受单片机速度限制,只能输出频率较低的波形-Written by avr microcontroller to control the DAC7611 output sine wave, DDS, by the microcontroller speed limit, only the lower the output frequency waveform
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-17
    • 文件大小:20750
    • 提供者:how
  1. 20090903FPGA

    0下载:
  2. 传统的波形发生器采用模拟技术的方法,这种方法构成的波形发生器电路结构复杂,仅能产生正弦波、方波、锯齿波和三角波等几种简单波形。而现在在高科技领域,我们需要的可能是一些任意波形,如在保密雷达发波等军事方面和地震波形、汽车碰撞波形等模拟仿真应用方面。任意波形发生器现在被广泛用于自动控制系统、振动激励、仪器仪表领域。我国目前在这方面还比较落后,特别是在用DDS技术实现任意波形发生器方面。本课题我们打算用DDS技术基于FPGA核心板设计一个任意波形发生器。该仪器我们用LabVIEW来写的控制面板实现与F
  3. 所属分类:SCM

    • 发布日期:2017-05-02
    • 文件大小:532439
    • 提供者:zhangying
  1. DSP

    0下载:
  2. 此次设计要求完成的任务是基于DSP的原理基础上设计一个能够产生方波、三角波、阶梯波,波形可选择,且参数可调得波形发生器。-The design requirements of the tasks is based on the principle of DSP based on the design to produce a square wave, triangle wave, step-wave, waveform choice, and the adjustable parameters
  3. 所属分类:DSP program

    • 发布日期:2017-04-03
    • 文件大小:112222
    • 提供者:梁冬梅
  1. boxingfashengqi

    0下载:
  2. 可以产生以下波形:方波、正弦波、三角波、锯齿波,且幅值电压:0V到5V-To generate the following waveforms: square wave, sine wave, triangle wave, sawtooth wave, and the amplitude voltage: 0V to 5V
  3. 所属分类:SCM

    • 发布日期:2017-05-16
    • 文件大小:4246414
    • 提供者:zhengchao
  1. Wave form Acquisition

    1下载:
  2. STC89C51RC采集和解码红外和无线遥控器波形的源程序-STC89C51RC capture and decode infrared and wireless remote control of the source waveform
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2012-12-24
    • 文件大小:1357
    • 提供者:kevin
  1. VerilogHDLDDS

    0下载:
  2. 简单介绍了直接数字频率合成技术(DD S),利用DDS设计任意 波形发生器,其能够产生矩形波、正弦波、三角波、锯齿波等多种波形 -A brief introduction of direct digital frequency synthesis (DD S), the use of DDS design of arbitrary waveform generator, which can produce rectangular wave, sine wave, triangle wave,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:199991
    • 提供者:guoguo
  1. wave

    0下载:
  2. 波形发生器程序:单片机为核心器件 波形发生器程序:单片机为核心器件-bo xing fa sheng qi bo xing fa sheng qi bo xing fa sheng qi xing fa sheng qi bo xing fa sheng qi bo xing fa sheng qi
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:1109
    • 提供者:mjc
  1. 83390078DDS

    0下载:
  2. DDS的工作原理是以数控振荡器的方式产生频率、相位可控制的正弦波。电路一般包括基准时钟、频率累加器、相位累加器、幅度/相位转换电路、D/A转换器和低通滤波器(LPF)。频率累加器对输入信号进行累加运算,产生频率控制数据X(frequency data或相位步进量)。相位累加器由N位全加器和N位累加寄存器级联而成,对代表频率的2进制码进行累加运算,是典型的反馈电路,产生累加结果Y。幅度/相位转换电路实质上是一个波形寄存器,以供查表使用。读出的数据送入D/A转换器和低通滤波器。-DDS works
  3. 所属分类:Embeded Linux

    • 发布日期:2017-04-17
    • 文件大小:43774
    • 提供者:394177191
  1. wave

    0下载:
  2. 梯形波等常用波形程序,梯形波的编程学习资料,程序简单易懂-Trapezoidal waveform such as commonly used procedures, trapezoidal wave programming learning materials, the program easy to understand
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:1191
    • 提供者:挖哈哈
  1. wave

    0下载:
  2. 10f202 作为简单的波形发生器。可用于逻辑电路调试。-10f202 as a simple waveform generator. Logic circuits can be used for debugging.
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:635
    • 提供者:温先生
  1. PWM

    0下载:
  2. PWM原理 2、调制器设计思想 3、具体实现设计 一、 PWM(脉冲宽度调制Pulse Width Modulation)原理: 脉冲宽度调制波通常由一列占空比不同的矩形脉冲构成,其占空比与信号的瞬时采样值成比例。图1所示为脉冲宽度调制系统的原理框图和波形图。该系统有一个比较器和一个周期为Ts的锯齿波发生器组成。语音信号如果大于锯齿波信号,比较器输出正常数A,否则输出0。因此,从图1中可以看出,比较器输出一列下降沿调制的脉冲宽度调制波。 -PWM principle 2
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:60986
    • 提供者:weiwen
  1. VHDL(sin)

    0下载:
  2. 基于ROM的正弦波发生器的设计 一.实验目的 1. 学习VHDL的综合设计应用 2. 学习基于ROM的正弦波发生器的设计 二.实验内容 设计基于ROM的正弦波发生器,对其编译,仿真。 具体要求: 1.正弦发生器由波形数据存储模块(ROM),波形发生器控制模块及锁存模块组成 2.波形数据存储模块(ROM)定制数据宽度为8,地址宽度为6,可存储 64点正弦波形数据,用MATLAB求出波形数据。 3.将50MHz作为输入时钟。 -ROM-based
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:17414
    • 提供者:爱好
  1. 12864lcd

    0下载:
  2. 单片机的利用12864液晶尽性波形描绘程序,采用c语言编写,串口通信。-12864 LCD MCU to make use of wave described procedures, using c language, serial communications.
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:2141
    • 提供者:kyo
  1. buoxingfasheng

    0下载:
  2. 使用 avr meg16单片机 制作的波形发生器 能产生三角波 锯齿波 方波 电压范围较宽 已经实验成功-Using avr meg16 Microcontroller triangle waveform generator to produce square-wave voltage of sawtooth wave has been successful experiments in a wide range of
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-26
    • 文件大小:103486
    • 提供者:葛然
  1. DAC-wave

    0下载:
  2. ARM7产生波形数据,经DAC转换成波形,再滤波产生平滑的正弦波形-ARM7 simulation waveform generator protues map
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:43806
    • 提供者:kai
  1. DAC

    0下载:
  2. 利用msp430内部dac12,按键控制单片机输出可调电压,并能输出正弦波、三角波、锯齿波。并计算输出波形周期数目。还可利用按键键入电压值。-Using msp430 , key control MCU output adjustable voltage, and can output sine wave, triangle wave, sawtooth wave. calculate the number of output waveform cycle. Can also use butto
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:5625
    • 提供者:LiYang
  1. 0832signalgenerter

    0下载:
  2. 基于单片机波形发生器,dac0832,产生正弦波,锯齿波,三级啵(c语言)-Microcontroller-based waveform generator, dac0832, produce sine wave, sawtooth, 3 啵 (c language)
  3. 所属分类:SCM

    • 发布日期:2017-04-13
    • 文件大小:1724
    • 提供者:yannantian
  1. dfjeijfoejo

    0下载:
  2. 单片机波形发生器的设计, 本次课程设计使用的 8051 单片机构成的发生器可产生方波、三角波、锯齿波、正弦波等多种波形,波形的周期可以用程序改变,并可根据需要选择单极性输出或双极性输出,具有线路简单、结构紧凑、价格低廉、性能优越等优点。在本设计的基础上,加上键盘控制和LED显示器,则可通过键盘设定所需要的波形频率,并在LED上显示。-SCM waveform generator design, curriculum design used in this 8051 composition can
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:14280
    • 提供者:henry
« 1 2 34 5 6 7 8 9 10 ... 31 »
搜珍网 www.dssz.com