CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 状态机

搜索资源列表

  1. FPGA控制AD程序,ADC,DAC转换接口

    2下载:
  2. FPGA控制AD程序,ADC,DAC转换接口.rar 有限状态机控制AD采样.rar,FPGA control AD procedure
  3. 所属分类:VHDL编程

    • 发布日期:2016-12-23
    • 文件大小:269105
    • 提供者:黄群
  1. fsm

    1下载:
  2. 有限状态机工作原理、设计方法、步骤等精要说明-Finite state machine working principle, design method, such as Essentials of steps to explain
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-10-13
    • 文件大小:3187520
    • 提供者:www
  1. horse_light4

    0下载:
  2. 六种花样的流水灯,从左至右,从右至左,中间向两边,两边向中间,跳格闪烁等。verilog语言编写; 并且扩展容易; 有两个状态机构成实现。quartus 9.0和7.1仿真通过。无错误,无警告。-Six kinds of patterns of flowing water lights, from left to right, from right to left, in the middle to both sides, both sides toward the middle, ju
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:70009
    • 提供者:tangjieling
  1. 状态机

    0下载:
  2. 米利机和摩尔机的vhdl基本代码,可以自己更改
  3. 所属分类:VHDL编程

  1. 状态机

    0下载:
  2. 简单的状态机,按下按钮可在4个状态间进行切换(simple state machine)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-11-21
    • 文件大小:164864
    • 提供者:tyne
  1. jiaotongdeng

    0下载:
  2. 基于VHDL状态机的交通灯设计(已仿真下载实验板测试)(Traffic light design based on VHDL state machine (simulation download, experimental board test))
  3. 所属分类:VHDL/FPGA/Verilog

  1. C language state machine

    0下载:
  2. C语言状态机 用状态机原理进行软件设计 摘要:本文描述状态机基础理论,以及运用状态机原理进行软件设计和实现的方法。 关键词:有限状态机 层次状态机 面向对象分析 行为继承(C language state machine)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-12-24
    • 文件大小:192512
    • 提供者:jerry0755
  1. design

    0下载:
  2. 使用有限状态机完成序列检测,是FPGA开发中的基础程序(sequence detection with state mation)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-01
    • 文件大小:161792
    • 提供者:tc280
  1. state_machine

    0下载:
  2. 同样是简单的MAX II编程,状态机顾名思义,0到8的循环显示,用到了数码管。(The same is a simple MAX II programming, the state machine as its name suggests, 0 to 8 of the cycle display, using the digital tube.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-01
    • 文件大小:192512
    • 提供者:游子游荡
  1. Mealy_TrafficLight

    0下载:
  2. 基于FPGA交通控制器的Mealy状态机实现(Mealy state machine controller based on FPGA traffic)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-02
    • 文件大小:265216
    • 提供者:9901tzh
  1. FiniteStateMachine

    0下载:
  2. 一个可以识别正则表达式的状态机,采用了多种Case描述,方便修改(A finite state machine designed for identifying expression patterns)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-05
    • 文件大小:140288
    • 提供者:BXYMartin
  1. 基于51单片机的上位机通讯系统说明书

    0下载:
  2. 本次设计就是来完成由上位机通过串口控制来实现,以发光二极管的发光状态模拟开关电路的通断,用上位机的DOS命令对其进行控制。并用LED屏显示程序的传输。(This design is completed by the host computer through serial port control. The LED switch's luminous state is used to simulate the on-off of the switch circuit, and the upper
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2018-01-08
    • 文件大小:116736
    • 提供者:忆帘九九
  1. 4

    0下载:
  2. 设计一个轨道交通自动售票电路,只接受1,2,5元人民币,每张票价定额5元,并支持找零。要求: (1)用状态机方法设计;(Design an automatic rail transit ticketing circuit, accepting only 1, 2, 5 yuan, 5 yuan per ticket, and support change. Requirements: (1) design with state machine method;)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-08
    • 文件大小:16384
    • 提供者:LIMBO2K
  1. 状态机

    0下载:
  2. 设计一个简单的数字电路用于电子卖报机,要求如下: 报纸价格为1.5元;投币器只接受5角和1元硬币;投币器不找零。当投入金额合适时,报纸出口打开,否则关闭。用Verilog完成设计。(The design of a simple digital circuit for electronic selling machine, the following: The price is 1.5 yuan; the coin only accept 5 cents and $1 coin coin do
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-09
    • 文件大小:1024
    • 提供者:victorzn
  1. 站台--舵机

    0下载:
  2. 控制舵机定时转动角度,实时变化状态的显示。(Control the rotation angle of the rudder and change the state in real time.)
  3. 所属分类:单片机开发

    • 发布日期:2018-04-20
    • 文件大小:12288
    • 提供者:核心论文
  1. qpc4.0.00

    0下载:
  2. 本文档是UML事件驱动状态机介绍文档,基于量子编程的qp状态机,qpc4.0.00.zip是源代码。可以使用它来取代RTOS和前后台系统。实时性完全可以和RTOS媲美。了解它之后肯定会对你的编程思想有很大启发。了解过cc2530 z-stack协议栈的人看到它会非常亲切,因为z-stack协议栈和qp非常类似(This document is an introduction to a UML event driven state machine, a QP state machine based
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2018-04-21
    • 文件大小:10519552
    • 提供者:taoking_lhkf
  1. interfaceswitch

    0下载:
  2. ARDUINO硬件台平的界面跳转库(C++类库)。可用于界面设计;菜单设计;状态机管理等。提供详细说明与例程。可用PROTEUS仿真+VDSM脱离硬件查看效果。(ARDUINO hardware platform flat interface jump Library (C++ Class Library). It can be used for interface design, menu design, state machine management and so on. Provide
  3. 所属分类:嵌入式/单片机/硬件编程

    • 发布日期:2018-04-30
    • 文件大小:20480
    • 提供者:changser
  1. ztj

    0下载:
  2. 底层基本逻辑单元实现状态机的功能,根据不同的控制位实现状态转化(Basic logic unit realizes state machine function and realizes state transformation according to different control bits)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-02
    • 文件大小:1969152
    • 提供者:ltfy咖啡
  1. FiniteStateMachine

    0下载:
  2. 使用VHDL实现的有限状态机的ISE工程 ise版本14.7(Finite State Machine based on VHDL)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-03
    • 文件大小:116736
    • 提供者:richugh
  1. FSM状态机verilog代码

    1下载:
  2. 能实现状态转换、移位功能的状态机,使用verilog代码编写,能通过modelsim编程实现。
  3. 所属分类:VHDL编程

« 1 2 3 4 56 7 8 9 10 ... 50 »
搜珍网 www.dssz.com