CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 白噪声

搜索资源列表

  1. signal

    0下载:
  2. 产生带白噪声的正弦信号组合,并用自适应滤波器滤除-white noise generated with the combination of sinusoidal signal, and uses an adaptive filter to filter
  3. 所属分类:DSP编程

    • 发布日期:2008-10-13
    • 文件大小:15292
    • 提供者:何震
  1. AdaptiveLMS

    0下载:
  2. 用自适应数字滤波器进行谱线增强,噪声信号是均值为零,方差为1的高斯白噪声
  3. 所属分类:DSP编程

    • 发布日期:2008-10-13
    • 文件大小:1069
    • 提供者:清风
  1. noise-FFT

    0下载:
  2. 信号被白噪声干扰,对该信号进行采样,利用傅立叶变换对其频率进行分析。
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:19121
    • 提供者:yuanjia
  1. vhdl

    0下载:
  2. 伪随机码发生器的VHDL实现 随着通信理论的发展,早在20世纪40年代,香农就曾指出,在某些情况下,为了实现最有效的通信,应采用具有白噪声的统计特性的信号。另外,为了实现高可靠的保密通信,也希望利用随机噪声。然而,利用随机噪声最大困难是它难以重复产生和处理。直到60年代,伪随机噪声的出现才使这一难题得到解决
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:217591
    • 提供者:张之晗
  1. work.rar

    0下载:
  2. 上载的内容为随机信号处理的作业,具体是:其中W(t)为均值为零,方差为3的白噪声。 (1)产生若干组500个点长随机序列。 (2)找一个ARMA模型与(1)中的500个点匹配。 (3)在产生一个500个点长的随机序列校正。 ,Upload the contents of random signal processing operations, specifically: one of W (t) for the mean zero, variance of white noise for
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-03-27
    • 文件大小:1032
    • 提供者:tianli
  1. DSP2

    0下载:
  2. DSB-SC信号的生成与解调 1) 用离散(DSP)的方法生成DSB信号 2) 载波频率为150KHz,音频为500Hz和2000Hz的混合音。 3) 加入高斯白噪声 (4) 语音信号 的传输。 改变抽样频率和量化台阶大小,观察重建信号以及量化噪声信号的波形;对于语音信号主观评价声音质量的变化。 -DSB-SC signal generation and demodulation 1) Discrete (DSP) methods to generate
  3. 所属分类:DSP program

    • 发布日期:2017-03-30
    • 文件大小:28961
    • 提供者:Ryan
  1. DataProcess

    2下载:
  2. 单片机基本数据处理常用函数,主要处理对于AD采集的数据的处理操作。 函数的操作单位大多为数组。 包含浮点求和、平方和、均值、方差、协方差、自相关函数、正弦信号产生、白噪声产生、数据类型转换等功能。-SCM basic data processing commonly used functions, dealing mainly with the data collected for the AD processing operations. Function is mostly an a
  3. 所属分类:SCM

    • 发布日期:2017-03-27
    • 文件大小:37201
    • 提供者:icemoon1987
  1. rsd1

    1下载:
  2. 单频加白噪声的随机信号分析模型,包括经典的周期图法和AR模型-Add single-frequency white noise random signal analysis model, including the classic periodogram and AR model
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-04
    • 文件大小:922
    • 提供者:huangyi
  1. OFDMinAWGNandRayleigh

    0下载:
  2. 白噪声和瑞利信道下对OFDM的仿真实现,不错,推荐给大家。-White noise and Rayleigh channel simulation of the OFDM to achieve
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-01
    • 文件大小:7704
    • 提供者:wanggang
  1. fangz

    1下载:
  2. 数字通信系统的仿真,包括调制解调,上下变频,加入了高斯白噪声,并且每个步骤都生成相应的图形-Digital communication system simulation, including the modulation and demodulation, the upper and lower frequency, by adding Gaussian white noise, and each step generates the appropriate graphics
  3. 所属分类:DSP program

    • 发布日期:2017-02-08
    • 文件大小:34577
    • 提供者:maominchao
  1. random_include

    1下载:
  2. 基于DSP的随机数生成头文件 调用mm函数后输入number即可得到相应长度的随机数,使用的是线性同余法,已经在CCS上调试通过并经过TMS320C6416实际跑程序验证,在生成高斯白噪声和保密码等领域都有一定用处,希望有所帮助-a include text file of random number generator based on DSP
  3. 所属分类:DSP program

    • 发布日期:2017-03-28
    • 文件大小:935
    • 提供者:liuzhen
  1. whitenoise

    2下载:
  2. 信噪比可变的加性高斯白噪声信道下信号发生器的VHDL语言编程实现-the realization of data-creater on AWGN channel
  3. 所属分类:VHDL编程

    • 发布日期:2013-03-22
    • 文件大小:69670
    • 提供者:由佳彬
  1. awgn

    3下载:
  2. 高斯白噪声的VHDL实现。伪随机序列只能输出均匀噪声,需要打乱相关性。-awgn in vhdl
  3. 所属分类:VHDL编程

    • 发布日期:2013-03-18
    • 文件大小:1298
    • 提供者:terry.ding
  1. DSP-fangzhen

    0下载:
  2. 用一个2阶的AR模型产生一平稳的随机过程s(n)。 其中, , , 可任意选定。 再产生一方差为 的白噪声 。接收信号为 , 要求对接收信号进行维纳滤波,输出信号为 。 1, 给定维纳滤波器的长度M,考察代价和函数与SNR之间的关系。 2, 给定SNR,考察代价函数与信噪比之间的关系。 3, 做一步预测,代价函数随滤波器的长度和信噪比的变化关系。 -With a 2-order AR model to generate a stationary rand
  3. 所属分类:DSP program

    • 发布日期:2017-04-07
    • 文件大小:128207
    • 提供者:丁倩
  1. gaosizaosheng

    1下载:
  2. 高斯白噪声的FPGA实现文档,讲解的比较全面。-FPGA Implementation of Gaussian white noise documents, a more comprehensive explanation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-02-08
    • 文件大小:162816
    • 提供者:薛辉
  1. vhdlffiltter

    0下载:
  2. 可生成一个正弦信号,并加入白噪声,的到正弦信号和白噪声的混合信号,通过低通滤波器对白噪声进行处理,已通过测试。-Generates a sinusoidal signal, and adding white noise, the sinusoidal signal and white noise to the mixed-signal, white noise through a low pass filter processing, has been tested.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:67501
    • 提供者:
  1. qpsk_AWGN

    0下载:
  2. QPSK在AWGN信道下仿真,主要描述的是其在高斯白噪声背景下的误码性能的仿真-APSK simulink in AWGN chanel
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-06
    • 文件大小:1229
    • 提供者:marlon
  1. Schmidl_Cox_for_ieee80211a

    0下载:
  2. 基于IEEE802.11a协议,OFDM加高斯白噪声的符号定时同步,Schmidl_Cox算法仿真代码-Based on IEEE802.11a protocol, the OFDM plus Gaussian white noise symbol timing synchronization, Schmidl_Cox algorithm simulation code
  3. 所属分类:DSP program

    • 发布日期:2017-03-29
    • 文件大小:1531
    • 提供者:tracy
  1. LMS

    0下载:
  2. 基于lms算法的自适应噪声消除有效去除信号中混有的工频噪声和白噪声-Lms algorithm based on adaptive noise cancellation effectively remove signal mixed in some frequency noise and white noise
  3. 所属分类:SCM

    • 发布日期:2017-11-22
    • 文件大小:592
    • 提供者:苏雪萍
  1. M12

    0下载:
  2. VHDL硬件描述语言实现M12序列,可以用作白噪声,码率可调-VHDL hardware descr iption language M12 sequence can be used as white noise, adjustable rate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-24
    • 文件大小:1700
    • 提供者:hongkun
« 12 »
搜珍网 www.dssz.com