CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 相位差测量

搜索资源列表

  1. 简易谐波分析仪

    2下载:
  2. 采用12位MAX 197对外部信号采样。采用全周波傅立叶积分算法,对采样信号进行处理,对电力系统的电压有效值、功率等特征量进行实时在线监测;采用全数字测量法测量相位差;并采用自适应技术调整采样间隔,消除非同步采样对计算造成的误差,利用PIC18F458捕获功能实时监测电力系统频率波动,修订采样间隔。分析了各量的测量误差,均达到标准。并提供友好的人机交互界面。-used 12 MAX 197 pairs external signal sampling. Using Fourier-Cycle I
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:9038
    • 提供者:金永州
  1. TLC5510APhase

    0下载:
  2. 运用TLC5510A高速(20M),扫描出波形,测量相位差,两个TLC5510A测两个波形. -TLC5510A use of high-speed (20M), scanning waveform, phase difference measurement, Measuring 2 2 TLC5510A waveform.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:930247
    • 提供者:张春龙
  1. FPGA--DDS-PhaseMeasure

    1下载:
  2. Verilog实现的DDS正弦信号发生器和测频测相模块,DDS模块可产生两路频率和相位差均可预置调整的值正弦波,频率范围为20Hz-5MHz,相位范围为0°-359°,测量的数据通过引脚传输给单片机,单片机进行计算和显示。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1371610
    • 提供者:haoren
  1. Ymeasure

    2下载:
  2. 基于FPGA的相位测量原理图,通过对正弦信号过零比较进入FPGA,测量相位差。可用于测量导纳等应用中。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:8962
    • 提供者:赵培立
  1. ruanjiansheji

    1下载:
  2. 本简易网络导纳分析仪以C8051F020为控制和数据处理核心,由正弦信号发生器模块、滤波和功率放大模块、I/V变换模块、导纳角测量模块、导纳模测量模块、键盘模块以及液晶显示模块组成。采用数字控制DDS芯片AD9851产生100Hz-10KHz正弦信号,经滤波和功率放大后驱动网络负载。从网络负载中提取被测量信号,输入到以真有效值转换集成芯片AD637为核心的电压和电流测量电路构成的导纳模测量模块中进行导纳模测量。导纳角测量模块是从导纳模测量模块中取电压和电流信号分别经过零比较后使用鉴相器对信号相位
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:118313
    • 提供者:郭峰
  1. instrument

    0下载:
  2. 以单片机为控制核心 ,用可编程逻辑控制芯片 CPLD ,产生双32位的计数器和相位差检测器 ,进行等精度的 频率、相位差测量. 计数器的计数时间宽度和显示方式由键盘设定. 单片机读入计数值 ,进行浮点运算 ,测量结 果显示于液晶屏上
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:90691
    • 提供者:陈小明
  1. main

    0下载:
  2. 单片机中用于相位差测量仪的c程序,开发环境是Iar。主要运用于430 单片机
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2013-01-14
    • 文件大小:3354
    • 提供者:华子
  1. state

    1下载:
  2. 带正负的同频率周期信号的相位差测量机的FPGA实现-With positive and negative periodic signals with frequency phase measuring machine FPGA Implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1338
    • 提供者:黄茂琴
  1. MSP430_SDJC

    0下载:
  2. 本系统基于TI公司的MSP430系列芯片,结合模拟电路实现市电频率、电压、电流以及电压和电流之间相位差的测量。通过对市电波形的采集,系统还完成有功功率、无功功率的计算以及波形频谱的分析。-The system is based on TI' s MSP430 family of chips, combining analog circuit realization of the city of frequency, voltage, current and phase difference
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:3257
    • 提供者:李辉
  1. Lowfrequencydigitalphasetester

    0下载:
  2. 低频数字式相位测试仪,本设计给出了以凌阳16位单片机Spce061A为核心的数字式相位测量的基本原理与实现方案。该系统由相位测量仪、数字式移相信号发生器和移相网络三个模块构成,分别由两块单片机独立地实现控制与显示功能。采用DDS技术生成两路正弦波信号,并通过改变存储器中数据读取的起始地址来实现数字移相的功能,用Ф-T变换技术来实现相位差的测量,使得测量分辨率精确到0.1º ,测得的频率与相位差值送入LCD进行显示,加入红外键盘以及语音播报的功能,使得系统具有智能化、人性化的特色。-Low
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:269645
    • 提供者:shiyan
  1. FPGAbasedschematicdiagramofthephasemeasurement

    0下载:
  2. 基于FPGA的相位测量原理图,完全用原理图的方式对相位差进行测量-FPGA-based schematic diagram of the phase measurement, complete with schematic diagram of the measurement on the phase difference
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:8966
    • 提供者:kevin
  1. 12

    2下载:
  2. 用FPGA进行等精度频率和相位差测量的程序,本程序是在EPEC6Q240C8下的程序-Carried out with the FPGA such as the frequency and phase measurement precision of the procedure, this procedure was the procedure under the EPEC6Q240C8
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:89770
    • 提供者:billy1988
  1. Phase_Meter

    1下载:
  2. 无正负的带显示的周期信号相位差测量实现的程序代码-Unsigned band show the periodic signal code phase measurement achieve
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-05-06
    • 文件大小:1024
    • 提供者:黄茂琴
  1. pinlv

    0下载:
  2. 频率相位测量的程序。实现相位差的测量与显示。-Frequency phase measurement process. Implementation phase of the measurement and display.
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:65032
    • 提供者:易俊
  1. chenxu

    0下载:
  2. 相位差测量程序,利用单片机附加外围器件实现相位差的测量。-Phase difference measurement procedures using microcontroller additional peripheral devices to achieve the phase difference measurement.
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:18300
    • 提供者:wwww
  1. xiangweichaceliang

    0下载:
  2. 基于51单片机的相位差测量,测量精度高,在1602液晶显示屏上显示出结果-Based on 51 single-chip phase difference measurement, high accuracy, in 1602 the results displayed on the LCD
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-17
    • 文件大小:38006
    • 提供者:李囍
  1. estimate-the-phase-difference

    1下载:
  2. 本程序可以实现两组正弦信号的相位差测量,此程序是经CCS3.3开发出来的,可以在28335上运行。程序里面包括相位差测量算法程序,FFT程序,加噪声程序。分步给出方便修改。程序中还对不同开发环境给出大量头文件和库文件,方便大家调试成功-The program can achieve two sinusoidal signals phase difference measurement, this procedure is developed by CCS3.3, you can run on 2
  3. 所属分类:DSP program

    • 发布日期:2017-05-04
    • 文件大小:1255470
    • 提供者:王赞
  1. msp430-xiangweichaceliang-

    2下载:
  2. 基于MSP430的可以测量方波的相位差的程序,精确到小数点后3位,double型数据-msp430 相位差测量
  3. 所属分类:SCM

    • 发布日期:2017-04-26
    • 文件大小:44832
    • 提供者:
  1. 相位差

    0下载:
  2. 用硬件实现两路方波的整合,整合出一路方波,最后程序用输入捕获功能测量相位差,从而得出功率因数(Hardware to achieve two-way square wave integration, integration of a square wave, and the final program with input acquisition function to measure phase difference, thereby obtaining power factor)
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2017-12-22
    • 文件大小:2944000
    • 提供者:姗姗0323
  1. CORDIC算法分析

    0下载:
  2. 基于FPGA的高精度相位差测量算法实现 - (Implementation of high precision phase difference measurement algorithm based on FPGA)
  3. 所属分类:VHDL编程

    • 发布日期:2021-08-06
    • 文件大小:796672
    • 提供者:凤梨罐头
« 12 »
搜珍网 www.dssz.com