CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 相位差

搜索资源列表

  1. 简易谐波分析仪

    2下载:
  2. 采用12位MAX 197对外部信号采样。采用全周波傅立叶积分算法,对采样信号进行处理,对电力系统的电压有效值、功率等特征量进行实时在线监测;采用全数字测量法测量相位差;并采用自适应技术调整采样间隔,消除非同步采样对计算造成的误差,利用PIC18F458捕获功能实时监测电力系统频率波动,修订采样间隔。分析了各量的测量误差,均达到标准。并提供友好的人机交互界面。-used 12 MAX 197 pairs external signal sampling. Using Fourier-Cycle I
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:9038
    • 提供者:金永州
  1. 相位差可调的双通道信号发生器的设计

    0下载:
  2. 相位差可调的双通道信号发生器的设计,可以作为信号源用-phase difference adjustable dual-channel signal generator, we can use as a signal source
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:309523
    • 提供者:胡路听
  1. yixiang

    0下载:
  2. 数字式移相信号发生器可以产生预置频率的正弦信号,也可产生预置相位差的两路同频正弦信号,并能显示预置频率或相位差值;-digital phase shifting generator can produce preset frequency sinusoidal signal, Preferences may also have phase difference with the way the two-frequency sinusoidal signal, and can show that
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:7196
    • 提供者:黄瑞炎
  1. TLC5510APhase

    0下载:
  2. 运用TLC5510A高速(20M),扫描出波形,测量相位差,两个TLC5510A测两个波形. -TLC5510A use of high-speed (20M), scanning waveform, phase difference measurement, Measuring 2 2 TLC5510A waveform.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:930247
    • 提供者:张春龙
  1. FPGA--DDS-PhaseMeasure

    1下载:
  2. Verilog实现的DDS正弦信号发生器和测频测相模块,DDS模块可产生两路频率和相位差均可预置调整的值正弦波,频率范围为20Hz-5MHz,相位范围为0°-359°,测量的数据通过引脚传输给单片机,单片机进行计算和显示。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1371610
    • 提供者:haoren
  1. Ymeasure

    2下载:
  2. 基于FPGA的相位测量原理图,通过对正弦信号过零比较进入FPGA,测量相位差。可用于测量导纳等应用中。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:8962
    • 提供者:赵培立
  1. ruanjiansheji

    1下载:
  2. 本简易网络导纳分析仪以C8051F020为控制和数据处理核心,由正弦信号发生器模块、滤波和功率放大模块、I/V变换模块、导纳角测量模块、导纳模测量模块、键盘模块以及液晶显示模块组成。采用数字控制DDS芯片AD9851产生100Hz-10KHz正弦信号,经滤波和功率放大后驱动网络负载。从网络负载中提取被测量信号,输入到以真有效值转换集成芯片AD637为核心的电压和电流测量电路构成的导纳模测量模块中进行导纳模测量。导纳角测量模块是从导纳模测量模块中取电压和电流信号分别经过零比较后使用鉴相器对信号相位
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:118313
    • 提供者:郭峰
  1. instrument

    0下载:
  2. 以单片机为控制核心 ,用可编程逻辑控制芯片 CPLD ,产生双32位的计数器和相位差检测器 ,进行等精度的 频率、相位差测量. 计数器的计数时间宽度和显示方式由键盘设定. 单片机读入计数值 ,进行浮点运算 ,测量结 果显示于液晶屏上
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:90691
    • 提供者:陈小明
  1. frequency-phase_test_vhdl

    0下载:
  2. 相位差测试,频率测试、频率计数器、闸门控制器、显示译码控制的vhdl程序
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4966
    • 提供者:王充
  1. rtnSigGen_2

    1下载:
  2. C语言代码,生成3路有120度相位差的125Hz方波信号。可简单改编为多路、有均匀相位差、占空比可调的方波信号。 CPU是PIC16F73,8MHz晶振,开发环境MPLAB IDE 7.6或更高版本,需安装有PICC8编译器。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:21607
    • 提供者:dafy
  1. main

    0下载:
  2. 单片机中用于相位差测量仪的c程序,开发环境是Iar。主要运用于430 单片机
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2013-01-14
    • 文件大小:3354
    • 提供者:华子
  1. MSP430_SDJC

    0下载:
  2. 本系统基于TI公司的MSP430系列芯片,结合模拟电路实现市电频率、电压、电流以及电压和电流之间相位差的测量。通过对市电波形的采集,系统还完成有功功率、无功功率的计算以及波形频谱的分析。-The system is based on TI' s MSP430 family of chips, combining analog circuit realization of the city of frequency, voltage, current and phase difference
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:3257
    • 提供者:李辉
  1. PWM

    0下载:
  2. 闻亭TDS2407EA开发板的程序,采用通用定时器产生两路同频的PWM波形,重点调试的两路的相位差,也可以分别调整周期和占空比-Wintech TDS2407EA development board' s procedures, the use of two general purpose timers have the same frequency of the PWM waveform, the focus of debugging phase two, you can adjust
  3. 所属分类:DSP program

    • 发布日期:2017-04-16
    • 文件大小:52227
    • 提供者:老瑞
  1. mydesing

    0下载:
  2. 自己编写的一个相位检测程序,能识别4路信号的相位差,并能实时显示-goodsource
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:1376
    • 提供者:mark
  1. Phase_Meter

    1下载:
  2. 无正负的带显示的周期信号相位差测量实现的程序代码-Unsigned band show the periodic signal code phase measurement achieve
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-05-06
    • 文件大小:1024
    • 提供者:黄茂琴
  1. squareout

    0下载:
  2. 一款方波输出程序(C51),占空比和相位差可调,供参考-A square wave output procedures (C51), duty cycle and phase adjustable, for reference
  3. 所属分类:SCM

    • 发布日期:2017-04-13
    • 文件大小:2161
    • 提供者:huhongzhang
  1. pinlv

    0下载:
  2. 频率相位测量的程序。实现相位差的测量与显示。-Frequency phase measurement process. Implementation phase of the measurement and display.
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:65032
    • 提供者:易俊
  1. 相位差

    0下载:
  2. 用硬件实现两路方波的整合,整合出一路方波,最后程序用输入捕获功能测量相位差,从而得出功率因数(Hardware to achieve two-way square wave integration, integration of a square wave, and the final program with input acquisition function to measure phase difference, thereby obtaining power factor)
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2017-12-22
    • 文件大小:2944000
    • 提供者:姗姗0323
  1. 2路方波0725

    0下载:
  2. 通过STM32与FPGA通信计算比较得出两路波形的相位差(The phase difference between the two waveforms is obtained by comparing the STM32 with the FPGA communication)
  3. 所属分类:单片机开发

    • 发布日期:2017-12-16
    • 文件大小:6339584
    • 提供者:k77
  1. stm32两路相位差180度PWM

    1下载:
  2. stm32高级定时器1产生两路相位差180度PWM,频率、占空比可调。(STM32 produces two phase difference 180 degree PWM)
  3. 所属分类:单片机开发

    • 发布日期:2017-12-31
    • 文件大小:4140032
    • 提供者:liuhongzuo
« 12 3 4 5 »
搜珍网 www.dssz.com