CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 累加器

搜索资源列表

  1. NCO

    0下载:
  2. 基于FPGA的DDS设计,通过外接DA转换器输出稳定的正弦波,方波和三角波,可单独产生时钟,不必借助硬件连接,包含寄存器程序,累加器程序和时钟发生电路等,以及顶层设计原理图-The DDS FPGA-based design, through an external DA converter output stable sine wave, square wave and triangular wave, can produce a single clock, without the help
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-21
    • 文件大小:5834111
    • 提供者:孙雨晗
  1. counter

    0下载:
  2. FPGA编程,用Verilog语言实现4位累加器功能-The FPGA programming, realize four accumulator with Verilog language features
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:533672
    • 提供者:龚俊
  1. s5

    0下载:
  2. 清华大学电子系 时序逻辑实验报告 包括:触发器设计,计数器设计,累加器设计,序列检测器设计/有限状态机实现-Tsinghua University, Department of Electronics, sequential logic test report include: trigger design, counter design, accumulator design, the sequence detector design/finite state machine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-18
    • 文件大小:4785527
    • 提供者:夏冬
  1. add_sin

    0下载:
  2. 使用quartus软件编写VHDL语言一个累加器程序-Quartus software using VHDL language to write a program accumulator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1368
    • 提供者:lzpam
  1. add1A

    1下载:
  2. 用于实现锁相光子计数技术的累加器,verilog语言-Accumulator achieve specific cases for accumulator lock detection of photon counting technique
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1024
    • 提供者:丁雪梅
  1. pipeline_add

    0下载:
  2. pipeline式累加器的verilog代码和testbench文件,已验证-pipeline type accumulator verilog testbench code and documents, verified
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:4118
    • 提供者:adfadf
  1. sin_en

    0下载:
  2. DDS 由相位增量器,相位累加器,量化器以及正余弦查找表四部分组成。 相位累加器每一周期会累加上固定的相位值,然后从查找表中找到对应的数值。-DDS by the phase increment, phase accumulator, quantizer and sine and cosine lookup table of four parts. The phase accumulator accumulates a fixed phase value for each period,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:2712174
    • 提供者:panda
  1. TIM

    0下载:
  2. 飞思卡儿 计时器time 的脉冲累加器产生原理的例子,已经测试通过。-Freescale timer time pulse accumulator produces an example of the principle that has been tested.
  3. 所属分类:SCM

    • 发布日期:2017-11-30
    • 文件大小:202526
    • 提供者:陆式
  1. eda

    0下载:
  2. 直接数字频率 相位累加器 寄存器 lpm_rom(Based on VHDL+ FPGA design of the DDS signal has been through mode)
  3. 所属分类:VHDL/FPGA/Verilog

« 1 2 3 4 5»
搜珍网 www.dssz.com