CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 线性调频

搜索资源列表

  1. sin

    0下载:
  2. CCS环境下,脉冲压缩雷达线性调频时域处理算法研究(包含整个项目)
  3. 所属分类:DSP编程

    • 发布日期:2008-10-13
    • 文件大小:43953
    • 提供者:zhangshuai
  1. FSK

    0下载:
  2. 本代码是用单片机控制DDS芯片输出DDS信号 。该信号包括FSK调制信号和线性调频信号-This code is used to control single-chip DDS chip DDS output signal. The signal including the FSK modulation signal and linear FM signal
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-01
    • 文件大小:2431
    • 提供者:王慧梅
  1. 55593419signal2

    0下载:
  2. 针对线性调频信号提出的一种雷达信号处理算法仿真,具有很好的参考价值
  3. 所属分类:DSP program

    • 发布日期:2017-04-12
    • 文件大小:898
    • 提供者:蕾蕾
  1. ad9958

    0下载:
  2. AD9958是一款功能强大的DDS芯片,是AD公司新上市的产品,能够产生标准信号已及线性调频,非线性调频等信号。-AD9958 is a new chip with much more greater function.It is very suitable in signal processing. It can generate kinds of signal format such as standord signal and lfm signal.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:3392160
    • 提供者:xujingwei
  1. PulseCompress

    0下载:
  2. 编写利用FFT实现脉压的ADSP测试程序,DSP平台为TigerSHARC处理器,编程语言不限,完成脉压计算。波形数据的采样频率为20MHz,信号的调频带宽1~10MHz可以选择,脉冲宽度20~200us可以选择。将MATLAB中产生的零中频线性调频信号的波形数据,导入VDSP++中,利用软件的仿真功能,运行程序,并且观察结果。统计计算脉压前后脉冲宽度的变化,脉压的增益。比较不同窗函数对脉压输出脉冲幅度和宽度的影响。统计不同条件下的运行周期数。-failed to translate
  3. 所属分类:DSP program

    • 发布日期:2017-05-07
    • 文件大小:1917637
    • 提供者:
  1. AD9954-single_tone

    0下载:
  2. AD9954实现单频点输出,线性调频输出-AD9954 single-frequency output, linear frequency modulation output
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-08
    • 文件大小:1573
    • 提供者:lsj
  1. triangle_LFM

    0下载:
  2. DDS芯片产生三角波线性调频信号的FPGA程序-DDS chip generated triangular wave linear FM signal of the FPGA program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:103750
    • 提供者:TB-TB-MAN
  1. pinpuxihua

    2下载:
  2. 基于频谱细化的线性调频信号的参数估计。首先对中频信号延时相乘然后对产生的序列做FFT,初步算出LFM信号的调频斜率,然后用CHIRP-Z变换精确估计。-Estimated based on the parameters of the linear FM signal spectrum refinement. First of delay multiplied by the IF signal and then do the FFT on the resulting sequence, initi
  3. 所属分类:DSP编程

    • 发布日期:2013-12-03
    • 文件大小:71085
    • 提供者:孙科
  1. lfm_ambi

    0下载:
  2. 线性调频信号chirp lfm信号的模糊函数 matlab编写 -Linear frequency modulated signal ambiguity function of the signal of the chirp lfm Matlab prepared
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:608
    • 提供者:朱飞亚
  1. maichongyasuo

    0下载:
  2. 线性调频信号脉冲压缩的DSP实现,开发芯片TS101/TS20-Chirp signal pulse compression DSP implementation, development chip TS101/TS20
  3. 所属分类:DSP program

    • 发布日期:2017-11-25
    • 文件大小:114696
    • 提供者:张奔
  1. AD9954-single_tone

    0下载:
  2. AD9954实现单频点输出,线性调频输出-AD9954 single-frequency output, linear frequency modulation output
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-13
    • 文件大小:1663
    • 提供者:clinea
  1. DDS

    1下载:
  2. Verilog实现DDS线性调频,Verilog实现DDS线性调频-Verilog implementation of DDS linear FM,Verilog implementation of DDS linear FM
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:910
    • 提供者:youyou
  1. ad9959

    1下载:
  2. 本人毕业设计编写的,AVR平台,AD9958/AD9959通用 DDS 线性调频-DDS AD9958/AD9959 AVR
  3. 所属分类:SCM

    • 发布日期:2017-04-14
    • 文件大小:3302
    • 提供者:gaowen
  1. 信号源

    0下载:
  2. 用于将芯片与单片机一起作为信号源,产生各种所需要的信号,如方波、线性调频信号、锯齿波等(Produce a variety of waveforms, Fang Bo, FM, sawtooth, etc.)
  3. 所属分类:单片机开发

    • 发布日期:2018-01-07
    • 文件大小:410624
    • 提供者:梦柏LOVE
  1. PWM_AQ

    0下载:
  2. DSP产生pwm波形的驱动代码,供初学者学习交流使用(DSP produces the driver code of the PWM waveform for beginners to learn and use)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2018-01-10
    • 文件大小:8192
    • 提供者:andolby
  1. lmf

    1下载:
  2. 在ISE下,FPGA产生线性调频信号,并且产生信号的参数可调(In ISE, the FPGA generates a linear frequency modulation signal, and the parameters of the signal are adjustable.)
  3. 所属分类:VHDL/FPGA/Verilog

搜珍网 www.dssz.com