CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 线阵

搜索资源列表

  1. X9312

    0下载:
  2. 线阵CCD511的驱动,使用C8051F020单片机进行驱动-array CCD511 driving, the use of microprocessor-driven C8051F020
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2014-01-15
    • 文件大小:41671
    • 提供者:yukuan
  1. ccddrive

    0下载:
  2. 线阵CCDTCD1200D的单片机(s12)的驱动程序,绝对可用
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2014-01-17
    • 文件大小:200243
    • 提供者:arola
  1. lpc2136_ccd

    0下载:
  2. 基于LPC2136的线阵CCD采集系统的源代码
  3. 所属分类:uCOS开发

    • 发布日期:2008-10-13
    • 文件大小:107078
    • 提供者:fds
  1. CCD_TCD1205

    0下载:
  2. 用VHDL语言实现CCD图象采集系统,针对TCD1205线阵CCD传感器
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:8153
    • 提供者:xujingjing
  1. ILX509_7064

    2下载:
  2. 本文件是用CPLD(EPM7064)驱动线阵CCD(ILX509),其中包括原理图和程序-This document is a CPLD (EPM7064) driver line array CCD (ILX509), including schematics and procedures
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-09-06
    • 文件大小:245629
    • 提供者:wagjur
  1. LED(8.18.09)

    0下载:
  2. 12年TI杯电子设计大赛的题目,线阵LED程序源码-12 TI Cup Electronic Design Contest subject line array LED program source
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-29
    • 文件大小:27451
    • 提供者:刘锐
  1. SOC_CCD

    0下载:
  2. 基于SOC 的线阵CCD 图像采集单元设计,关于ccd的资源-SOC based on the linear array CCD image acquisition unit design resources on the ccd
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:507026
    • 提供者:aiyinsizhi
  1. CPLDforCCD

    0下载:
  2. 基于CPLD的光积分时间可调线阵CCD驱动电路设计-CPLD-based optical integration time adjustable linear array CCD Drive Circuit Design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:418748
    • 提供者:赵晖
  1. usethree-lineCCDimagetogettheorientationsparameter

    0下载:
  2. 本论文是关于用三线阵CCD影响进行目标定位的研究.-This paper is about three-line array CCD with the impact of targeting research.
  3. 所属分类:SCM

    • 发布日期:2017-05-10
    • 文件大小:2100239
    • 提供者:刘明亮
  1. line_ccd

    0下载:
  2. 高速线阵CCD图像数据采集系统的研究,是一篇毕业论文,详细介绍了线阵CCD图像采集系统。-High Speed Linear CCD Image Data Acquisition System, is a thesis, detailing the linear array CCD image acquisition system.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-22
    • 文件大小:7090655
    • 提供者:王萍
  1. ccd

    1下载:
  2. 利用线阵CCD来进行精密测量,精度可以达到0.1mm,工程实践项目-using CCD to finish the celiang
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:30973
    • 提供者:李三
  1. DStem

    0下载:
  2. DSP和线阵CCD的带钢边缘检测系统DSP and the strip edge linear CCD detection system-DSP and the strip edge linear CCD detection system
  3. 所属分类:DSP program

    • 发布日期:2017-04-04
    • 文件大小:363374
    • 提供者:hai
  1. TEST_GPIO_1

    1下载:
  2. 基于STM32F103VE的线阵CCD驱动程序,可以通过MDK自带的逻辑分析仪调试端口的输出波形-Linear array CCD based STM32F103VE driver that comes through the MDK debug port logic analyzer output waveform
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2015-12-21
    • 文件大小:448512
    • 提供者:mazhenglu
  1. CCDdesign

    0下载:
  2. 一种新型线阵CCD驱动电路的设计方法,硬件设计-A new linear array CCD drive circuit design, hardware design
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:81747
    • 提供者:决策
  1. CCDII

    0下载:
  2. VHDL编写的线阵CCD驱动源代码,稍作修改适用于大多数线阵CCD。-CCD driver source code, minor modifications apply to most of the linear array CCD.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:363138
    • 提供者:changjing
  1. TCD1251UD

    0下载:
  2. TCD1251UD是一种高灵敏度、低暗电流、2700像元的线阵CCD图像传感器,该芯片需要四路驱动脉冲,分别为转移脉冲SH、复位脉RS、时钟脉冲Φ1、Φ2,OS与DOS分别为信号输出和补偿信号输出,如图1所示。由于芯片结构上的安排,CCD在输出有效信号前先有64个哑元输出,然后才输出2700个有效像元,之后输出12个哑元,接下来便是空驱动,空驱动数目可以是任意的。 TCD1251UD是两列并行,分奇偶输出的器件,因此为了将所有像元信号输出,在一个SH有效脉冲期间至少需要1388个Φ脉冲。 -
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:1623
    • 提供者:wzklg
  1. TCD1001P-driver-Verilog

    0下载:
  2. 东芝线阵CCD-TCD1001P驱动程序源代码,verilog编写-Toshiba linear CCD-TCD1001P driver source code, Verilog write
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:723
    • 提供者:songfentao
  1. DSPRobotPVision(3)

    0下载:
  2. 一些关于机器人方面的资料,包括:DSP片外高速海量SDRAM存储系统设计、机器人视觉(Robot Vision)简介、基于DSP的爬行机器人主控制模块设计履带式管道清洁机器人嵌入式控制与通信系统的研究、线阵CCD图像传感器驱动电路的设计-Information about the robots, including: high-speed mass DSP-chip SDRAM memory system design, robot vision (Robot Vision) Profile-b
  3. 所属分类:DSP program

    • 发布日期:2017-05-15
    • 文件大小:3864651
    • 提供者:liumei
  1. LED-xianzhen

    0下载:
  2. 本设计硬件基础为:16个LED组成一个线阵,使用水银开关作为标杆,用89c52作为控制器。显示效果为一个心形和LOVE字符-The design of the hardware foundation: a linear array of 16 LED mercury switch as a benchmark, as the controller using 89c52. Display a heart-shaped and LOVE characters
  3. 所属分类:SCM

    • 发布日期:2017-11-18
    • 文件大小:22864
    • 提供者:天涯
  1. TCD

    0下载:
  2. 基于FPGA的线阵ccd的TCD1501D的verilog驱动。-The verilog drive based on FPGA linear array the ccd' s TCD1501D the.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-14
    • 文件大小:668
    • 提供者:ckshy
« 12 »
搜珍网 www.dssz.com