CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 自动接收

搜索资源列表

  1. voice_agc

    0下载:
  2. 在C54X DSP 下写的,DSP接收PC机话音数据,并在DSP内对话音作AGC自动增益控制!-under the C54X DSP wrote, DSP receiving PC voice data, and the DSP for voice within the AGC AGC!
  3. 所属分类:DSP编程

    • 发布日期:2008-10-13
    • 文件大小:8713
    • 提供者:张有鹏
  1. ak1

    0下载:
  2. 一些应用中,经常要用到双串口,但是一般单片机只提供一个串口,其实完全可以用普通I/O口模拟一个串口。以下的程序是我编写的模拟串口程序,程序中使用了单片机的定时器0,和INT0中断。数据的发送和接收由中断程序自动进行。程序已经过AVR仿真器仿真和实际烧片使用,证明可靠。有一点需要说明的是,此模拟的串口为半双工方式。 主程序中,单片机将标准串口设置为115200bps,将模拟串口设置为19200bps。单片机将标准串口收到的数据从模拟串口发送出去,将模拟串口接收到的数据从标准串口发送回来。-s
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:2007
    • 提供者:张永余
  1. progtstdyfthfge

    0下载:
  2. 单片机和PC机串口通讯实例说明 首先打开串口调试程序并按要求设置。启动51板后,按S10切换至接收状态,按S12切换至发送状态,启动默认为发送状态。 发送状态: 进入发送状态后,可向PC发送1-9 9个数字,并且数码显示同时显示发送的数,按S1发送1,按S2发送2,依次到按S9发送9。S11无用。发送成功可在串口调试程序的数据接收栏中显示收到的数据。 发送状态: 进入发送状态后,在串口调试程序的数据发送栏中输入00-FF的16进制2位数,点击手动
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:976
    • 提供者:后果发挥
  1. TCPIP

    0下载:
  2. RTL8019AS以太网控制器以寄存器(16K的RAM)为核心,本地和远程控制并发的操作,RTL8019拥有控制、状态、数据寄存器,通过他们与MCU通信。 RTL8019的接收和发送的机理 网络芯片负责物理链路层的电信号与上层协议的数据之间的转化。在分层的参考模型中,层与层之间是独立的。以太网协议由芯片自动完成。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:141605
    • 提供者:owen
  1. MSP430_nRF905

    0下载:
  2. MSP430把nRF905的“TRX_CE”引脚置为高电平,“TX_CE引脚拉为低电平后就刻蚀结社数据,本设计中CPU在设定的35s内一直判断Nrf9051“DR”引脚是否便变高个,若为高则证明接收到了有效数据,可以退出接收模式,弱一致没有接受2到,待时间到时也退出接接受是模块,退出后在待机模块,CPU通过SPI总线把NRF905内部的接收数据寄存器中数据读出,即接收道德有效数据。 便携接受部分程序时,有一点应该注意,很多资料中都没有提到,就是CPU在MOSI信号线上发出读命令字节后
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1672
    • 提供者:乔宏乐
  1. S7_PS2_RS232

    0下载:
  2. 本实验实现PS/2接口与RS-232接口的数据传输, PS/2键盘上按下按键,可以通过RS-232自动传送到主机的串口调试终端上(sscom32.exe); 并在数据接收区显示接收到的字符。 串口调试终端的设置:波特率115200,一个停止位,无校验位。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:884813
    • 提供者:skyy
  1. 杜洋 IR_NEAR 红外 自动 感应 系统 锁存 式 输出

    23下载:
  2. - 极简电路设计高稳定性感应处理 - 无锁存和锁存双输出多种应用扩展 强光直射和家用电器的红外遥控器的环境中会有干扰,使开关自动跳变,稳定性差。于是这次我重点改进了源程序,加入了强光下、红外遥控器环境下的防干扰算法,让这个红外感应开关非常稳定,不再受任何干扰了。 另外对程序的改进,让这次的制作省去了一条导线,制作更简单,只需要单片机、红外传感器、LED指示灯、电池和面包板。HEX文件已经更新发布(IR_NEAR_V2),敬请下载仿制。 1. 利用单片机内部的ADC接收反射光数值,
  3. 所属分类:嵌入式/单片机编程

  1. Tx

    0下载:
  2. 51单片机红外接收程序,载波频率38K,单片机自动实现2次调制-Infrared receiver processes 51 SCM
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-29
    • 文件大小:2588
    • 提供者:侯羿
  1. slave

    0下载:
  2. STC单片机的spi 程序代码,支持master 和 slave 自动接收-SCM STC code spi to support the master and slave to automatically receive
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-22
    • 文件大小:61180
    • 提供者:ma bugang
  1. PSi

    0下载:
  2. 程序自动接收PS2键盘的数字键,在数码管上显示-Program automatically receive the number keys PS2 keyboard
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:3024
    • 提供者:yandaoyi
  1. AGC

    0下载:
  2. 在实际系统中,由于发端功率和信道增益的变化会引起接收到的信号幅度的变化,这种变化是设计者所不希望的,因此,有必要对信号幅度进行自动增益控制(AGC)。另外,在解调器内部所有同步完成之后,如果解调输出为软输出,则需要对输出信号进行定标,以使较少的位数能够全面地反映解调数据的信息,这被称为定标AGC。AGC的实现原理大同小异,一般都是将信号幅度(能量)与固定门限比较,高于或低于门限的信息被送到调整环路滤波器,滤波器的输出用于控制可控增益放大器,或者是数字增益调整。-AGC
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1206
    • 提供者:开水来了
  1. CANcommulication

    0下载:
  2. CAN通讯源程序,可配合CAN卡直接使用,自动接收CAN 线上的数据帧-CAN
  3. 所属分类:SCM

    • 发布日期:2017-05-16
    • 文件大小:4399812
    • 提供者:胡建勇
  1. digtal-clock

    1下载:
  2. 本实用新型公开了一种多功能电子万年历,它包括:单片机、信号接收处理电路、时钟芯片、通讯发光数码管电路、LED点阵汉字图形显示电路,单片机、信号接收处理电路、时钟芯片、通讯发光数码管电路、LED点阵汉字图形显示电路组成电路板,本实用新型结构简单,操作使用方便,功能齐全,能耗低,误差小,既能显示时间、日期,又可以显示中国的农历、节气、节日、并具有自动接收、校正时间、记忆功能,是一种家庭或单位必备的万年历还,具有时钟闹铃,温度报警 -The utility model discloses a mu
  3. 所属分类:SCM

    • 发布日期:2016-05-02
    • 文件大小:47104
    • 提供者:陆志光
  1. infrared_tx_seg7x8

    0下载:
  2. 自动发射自动接收红外线 并且在数码管上进行显示 -Infrared automatic transmitter automatically receive and display on the digital
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:630
    • 提供者:poker tim
  1. FSJS

    0下载:
  2. nrf24L01的发送接收程序 按键可以控制发射 有信号时可以自动接收 发射和接收到信号时都有相应的信号灯提示若提示灯没亮 则表示没发射出去或者没有接收到信号-nrf24L01 of sending and receiving application launch buttons can be controlled automatically receive a signal to a signal transmitter and receiver has a corresponding sig
  3. 所属分类:SCM

    • 发布日期:2017-04-13
    • 文件大小:1892
    • 提供者:liulin
  1. PCB_last

    0下载:
  2. 用protel绘制了一个无线通信系统接收端的自动增益放大电路-Protel drawn with a wireless communication system receiver automatic gain amplifier
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-03
    • 文件大小:124628
    • 提供者:zfj
  1. 9.17

    0下载:
  2. 1.串口中断允许自动接收总线上的信息,当接收的字节后超过3.5个字节时间没有新的字节认为本次接收完成,接收完成标志置1 如果接收完成标志已经置1又有数据进来则丢弃新来的数据。 2.串口接收数据的处理, 当接收完成标志置1进入接收数据处理, (1)首先判断接收的第一位数据与本机地址是否相同,如果不相同清空接收缓存不发送任何信息 (2)接收的第一位数据与本机地址相同,则对接收缓存中的数据进行crc16校验,如果接收的校验位与本校验结果不相同清空接收缓存不发送任何信息;(3)如果crc16校验正
  3. 所属分类:SCM

    • 发布日期:2017-12-03
    • 文件大小:11261
    • 提供者:徐林
  1. SourceCode13_ecan_back2back_OK

    0下载:
  2. DSP28335 CAN总线模块,32个邮箱自动接收与发送-DSP28335 CAN bus module 32 automatically receive and send mail
  3. 所属分类:DSP program

    • 发布日期:2017-05-02
    • 文件大小:584263
    • 提供者:程楠
  1. PC-serial-communication-LABVIEW

    0下载:
  2. PC双串口互通信-LABVIEW,实现两台计算机互发字符并自动接收-serial communication between 2 computers
  3. 所属分类:SCM

    • 发布日期:2017-04-28
    • 文件大小:131633
    • 提供者:张志强
  1. RF_Example_Code

    0下载:
  2. 控制cc430f5137手动发送和自动接收433频段信号,利尔达源码-Control cc430 sent manually and automatically receive 433 bands
  3. 所属分类:SCM

    • 发布日期:2017-05-06
    • 文件大小:848877
    • 提供者:j
« 12 3 4 5 6 »
搜珍网 www.dssz.com