CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 计数器

搜索资源列表

  1. 计数器:generate语句的应用

    0下载:
  2. VHDL语言应用实例,计数器的设计,用GENERATE语句实现-VHDL example, counter design, realization GENERATE statement
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1015
    • 提供者:刘杰
  1. 智能计时计数器

    0下载:
  2. 智能计时计数器 有计两脉冲间隔时间、几脉冲间隔时间、30秒脉冲数等,还有就是根据这些时间做一些运算,51,牵涉到LCD,汇编C混合,计时器的使用。 本人首个正式产品,各位兄弟见笑了。原理图、程序、图片都有。-counter a smart time or two pulse intervals, pulse several time intervals, 30 seconds pulse, and so on. There is, according to the time to do
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:220011
    • 提供者:高颖峰
  1. 计数器程序

    0下载:
  2. 单片机计数器程序。-counter SCM procedures.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:5443
    • 提供者:木子
  1. 用门电路做的加、减计数器电路

    0下载:
  2. 一个用门电路做的加、减计数器电路。非常好用。4年前为某单位做的。加一个电容后,掉电后,计数值在门电路中都还可以保存3天。
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2014-01-18
    • 文件大小:17649
    • 提供者:孙行者
  1. 减法计数器

    0下载:
  2. EDA常用计数函数VHDL程序设计,减法计数器:可预置数:-common counting function EDA VHDL programming, subtraction counter : Preset :
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2963
    • 提供者:李培
  1. 00-99计数器

    1下载:
  2. 一个简单的计数器
  3. 所属分类:嵌入式/单片机编程

  1. 可预置可逆4位计数器

    0下载:
  2. 可预置可逆4位计数器
  3. 所属分类:单片机(51,AVR,MSP430等)

  1. 定时器计数器的应用1

    0下载:
  2. 定时器计数器的应用1
  3. 所属分类:单片机(51,AVR,MSP430等)

  1. 定时器计数器的应用2

    0下载:
  2. 定时器计数器的应用2
  3. 所属分类:单片机(51,AVR,MSP430等)

  1. 12进制计数器

    1下载:
  2. 应用VHDL语言编写十二进制计数器
  3. 所属分类:VHDL编程

  1. 7位二进制计数器

    0下载:
  2. 应用VHDL语言编写设计一个带计数使能、异步复位、同步装载的可逆七位二进制计数器,计数结果由共阴极七段数码管显示
  3. 所属分类:VHDL编程

  1. 增量式光电编码器计数器 Verilog 程序

    3下载:
  2. 增量式光电编码器计数器 Verilog 的程序,附源 Verilog 的代码.
  3. 所属分类:VHDL编程

    • 发布日期:2011-07-21
    • 文件大小:1120
    • 提供者:xyz543
  1. 定时计数器

    0下载:
  2. 利用51单片机中的定时计数器模块实现定时计数功能(The timing counter function is realized by using the timer counter module of the 51 single chip microcomputer)
  3. 所属分类:单片机开发

    • 发布日期:2017-12-18
    • 文件大小:41984
    • 提供者:EmptyCity
  1. 计数器

    0下载:
  2. 简单的硬件描述语言verilog语言描述的128进制计数器。(Simple hardware descr iption Language Verilog language described 128 binary counter.)
  3. 所属分类:硬件设计

  1. HT48XXX定时计数器的应用 说明及源程序

    0下载:
  2. HT48XXX定时计数器的应用 说明及源程序(Application descr iption and source program of HT48XXX timing counter)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2018-04-21
    • 文件大小:103424
    • 提供者:xuantim
  1. 可逆计数器VHDL描述

    0下载:
  2. 在开发板FPGA:Spartan-3E 系列,型号:XC3S500E,封装:FGT320,速度-4,利用Xilinx ISE软件,利用VHDL软件编写可逆计数器,包含实验说明以及代码实现VHDL.doc文件,UCF管脚绑定文件(In the FPGA:Spartan-3E development board series, XC3S500E, package: FGT320, speed -4, using Xilinx ISE software, written in a reversible
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-29
    • 文件大小:12288
    • 提供者:lixilin
  1. 4位二进制同步计数器

    3下载:
  2. 用Verilog语言实现4位二进制同步计数器的功能(Write a program in Verilog language to implement the fouction of Four binary synchronous counters.)
  3. 所属分类:嵌入式/单片机/硬件编程

    • 发布日期:2018-05-01
    • 文件大小:31744
    • 提供者:limaozi
  1. 4位BCD计数器

    0下载:
  2. 用Verilog语言编程实现4位BCD计数器的功能(Write the programm with Verilog language to implement the function of 4 - bit BCD counter.)
  3. 所属分类:嵌入式/单片机/硬件编程

    • 发布日期:2018-05-01
    • 文件大小:25600
    • 提供者:limaozi
  1. 定时器外部计数器测速

    0下载:
  2. 做课设用的,stm32的一个定时器外部计数器测速,用于接收光栅/编码器经过处理后的脉冲信号(To set up a class, a timer of STM32 is used to measure the speed of the external counter, which is used to receive the pulse signal processed by the grating / encoder.)
  3. 所属分类:嵌入式/单片机/硬件编程

    • 发布日期:2018-05-03
    • 文件大小:6982656
    • 提供者:Animenzzz
  1. 计数器

    0下载:
  2. 一个简单的计数器,用于eda实验,电子技术综合实验(A simple Digital counter)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-03
    • 文件大小:10240
    • 提供者:左城梦
« 12 3 4 5 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com