CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 调制 vhdl

搜索资源列表

  1. ASK.VHDL

    0下载:
  2. ASK调制VHDL程序及仿真 基于VHDL硬件描述语言,对基带信号进行ASK振幅调制-ASK modulation VHDL simulation based on the procedures and VHDL hardware descr iption language, the baseband signal amplitude modulation ASK
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:42322
    • 提供者:少龙
  1. MASK.VHDL

    0下载:
  2. MASK调制VHDL程序与仿真 基于VHDL硬件描述语言,对基带信号进行MASK调制-MASK modulation VHDL simulation based on the procedures and VHDL hardware descr iption language, the baseband signal modulation MASK
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:39401
    • 提供者:少龙
  1. PSK.VHDL

    0下载:
  2. CPSK调制VHDL程序及仿真 基于VHDL硬件描述语言,对基带信号进行调制-CPSK modulation VHDL simulation based on the procedures and VHDL hardware descr iption language, the baseband signal modulation
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:73128
    • 提供者:少龙
  1. OFDM系统中的QAM调制

    2下载:
  2. OFDM系统中的QAM调制,用verilog语言编写,用于FPGA设计,QAM modulation for OFDM system
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-24
    • 文件大小:1320
    • 提供者:周美红
  1. PSK_VHDL

    0下载:
  2. CPSK调制VHDL程序 --文件名:PL_CPSK --功能:基于VHDL硬件描述语言,对基带信号进行调制 -VHDL procedures CPSK modulation- the file name: PL_CPSK- features: VHDL hardware descr iption language based on the base-band signal modulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:73127
    • 提供者:huangsong
  1. ASK_VHDL

    0下载:
  2. ASK调制VHDL程序 --文件名:PL_ASK --功能:基于VHDL硬件描述语言,对基带信号进行ASK振幅调制 -ASK modulation VHDL procedures- File Name: PL_ASK- features: VHDL hardware descr iption language based on the base-band signal amplitude modulation ASK
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:42317
    • 提供者:huangsong
  1. VHDLcodeofMPSK

    0下载:
  2. 基于VHDL硬件描述语言,对基带信号进行MPSK调制(这里M=4)-VHDL code for MPSK
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:80212
    • 提供者:时国美
  1. VHDL

    0下载:
  2. PWM控制就是产生一定周期,占空比不同的方波信号,当占空比较大时,电机转速较高,否则电机转速较低。当采用FPGA产生PWM波形时,只需FPGA内部资源就可以实现,数字比较器的一端接设定值输出,另一端接线性递增计数器输出。当线性计数器的计数值小于设定值时输出低电平,当计数器大于设定值时输出高电平,这样就可通过改变设定值,产生占空比不同的方波信号,从而达到控制直流电机转速的目的。 直流电机控制电路主要由2部分组成,如图1所示:  FPGA中PWM脉宽调制信号产生电路; &
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:37426
    • 提供者:袁玉佳
  1. pwm

    0下载:
  2. 用 硬件描述语言实现脉宽调制 VHDL 例子-PWM through VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:810
    • 提供者:Wayne Gao
  1. FSK_PSK_tiaozhidianlusheji

    0下载:
  2. FSK/PSK调制电路设计,基于vhdl和quartus2-FSK/PSK modulation circuit design, based on the vhdl and quartus2
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:28034
    • 提供者:neversee
  1. jueduima

    0下载:
  2. psk 调制 解调 中绝对码到相对码转换的vhdl程序-psk modem absolutely yards to the relative code-switching in vhdl program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:3776
    • 提供者:zhangpeng
  1. ASK-VHDL

    0下载:
  2. 基于VHDL硬件描述语言,对基带信号进行ASK振幅调制-VHDL hardware descr iption language based on the ASK baseband amplitude modulation signal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:42132
    • 提供者:海玲
  1. signal

    0下载:
  2. 本例实现了一个FSK/PSK信号调制通信系统。通过FPGA平台上的按键控制,可分别产生FSK和PSK波形。-This example implements a FSK/PSK modulation communication systems. FPGA platforms through key control, FSK and PSK waveforms are generated.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:27218
    • 提供者:周志法
  1. 8.14-MPSK-VHDL

    0下载:
  2. MASK调制VHDL程序及仿真 一调试验证通过-MASK modulation and simulation of a VHDL program verified through debugging
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:80141
    • 提供者:liufei
  1. 8.13-MFSK-debug-VHDL-program

    0下载:
  2. 基于VHDL硬件描述语言,对基带信号进行MASK调制-VHDL hardware descr iption language based on the modulated baseband signal MASK
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:63292
    • 提供者:HUANGTIANWEN
  1. 8.11-PSK-debug-VHDL-program

    0下载:
  2. 基于VHDL硬件描述语言,对基带信号进行pSK调制-VHDL hardware descr iption language based on the modulated baseband signal pSK
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:72821
    • 提供者:HUANGTIANWEN
  1. 8.9-ASK-debug-VHDL-program

    0下载:
  2. 基于VHDL硬件描述语言,对基带信号进行ASK调制-VHDL hardware descr iption language based on ASK modulation baseband signal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:42011
    • 提供者:HUANGTIANWEN
  1. FSK-VHDL

    0下载:
  2. 基于VHDL硬件描述语言,对基带信号进行FSK调制-VHDL hardware descr iption language based on FSK modulation baseband signal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:52080
    • 提供者:MOHAMAD
  1. DPSK调制解调VHDL程序

    0下载:
  2. 用于DPSK的调制解调 包括码型变换及反变换过程(Modulation and demodulation for DPSK, including code type conversion and inverse transformation process)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-22
    • 文件大小:15360
    • 提供者:红红火火mg
  1. 2FSK调制解调的FPGA实现(VHDL)

    1下载:
  2. 2FSK调制解调的FPGA设计,基于XINLINX的ISE平台开发,采用VHDL语言设计,有设计文档,欢迎学习借鉴(The FPGA design of 2FSK modulation and demodulation, based on the ISE platform of xinlinx, is designed with VHDL language, with design documents, welcome to learn)
  3. 所属分类:VHDL/FPGA/Verilog

« 12 3 4 5 6 7 8 9 10 ... 13 »
搜珍网 www.dssz.com