CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 通信系统建模

搜索资源列表

  1. PL_FSK

    0下载:
  2. 数字通信系统通信系统调制解调(PL_FSK)VHDL建模,包括发送和接受模块-Digital Communication System Communication System modulation and demodulation (PL_FSK) VHDL modeling, including sending and receiving modules
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:187851
    • 提供者:万金油
  1. shuzitongxinxitongjianmo01

    0下载:
  2. 基于CPLD_FPGA的数字通信系统建模与设计,本学习资料共分为4个部分,此为第一部分,供对数字通信系统建模和设计有兴趣的朋友学习参考。-CPLD_FPGA based on the digital communication system modeling and design, the learning materials is divided into four parts, this is the first part of the digital communication syste
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-05-06
    • 文件大小:1102132
    • 提供者:wangjianan
  1. shuzitongxinxitongjianmo02

    0下载:
  2. 基于CPLD_FPGA的数字通信系统建模与设计,本学习资料共分为4个部分,此为第一部分,供对数字通信系统建模和设计有兴趣的朋友学习参考。-CPLD_FPGA based on the digital communication system modeling and design, the learning materials is divided into four parts, this is the first part of the digital communication syste
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:950983
    • 提供者:wangjianan
  1. shuzitongxinxitongjianmo04

    0下载:
  2. 基于CPLD_FPGA的数字通信系统建模与设计,本学习资料共分为4个部分,此为第四部分,供对数字通信系统建模和设计有兴趣的朋友学习参考。-CPLD_FPGA based on the digital communication system modeling and design, the learning materials is divided into four parts, this is the fourth part of the digital communication syst
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1661861
    • 提供者:wangjianan
  1. wu

    0下载:
  2. 通信原理基于VHDL的课程设计,基于CPLD_FPGA的数字通信系统建模与设计(通信课设参考书)-VHDL-based communication principle of curriculum design, digital communication system based on CPLD_FPGA Modeling and Design (Communications course design reference)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-23
    • 文件大小:7128996
    • 提供者:吴德威
  1. CPLD_FPGA

    0下载:
  2. 基于CPLD/FPGA的数字通信系统建模与设计,里面讲述了通信系统的VHDL建模和各种基本电路的建模与设计,在通信原理课程设计中一般会用到!-Based on CPLD/FPGA Digital Communication System Modeling and Design, which describes VHDL modeling of communication systems and a variety of basic circuit modeling and design, pri
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-31
    • 文件大小:13211005
    • 提供者:
  1. Multi-sensor-technology

    0下载:
  2. 多传感器技术及其应用。传感器领域宝典书籍,国防基金资助翻译的德国资料。介绍多参数系统从建模到电路接口到软件实现的理论及其应用。应用及其广泛:生物,汽车,化学,医疗,农业,智能,通信等等,未来属于多传感器系统。 -Multi-sensor technology and its applications. Sensor field Collection of books, translation of the German Defense Fund data. Modeling of multi
  3. 所属分类:SCM

    • 发布日期:2017-05-26
    • 文件大小:9410178
    • 提供者:hanmu
  1. OFDM-_matlab

    0下载:
  2. OFDM里常用到的模型,一个相对完整的OFDM通信系统的仿真设计,包括编码,调制,IFFT,上下变频,高斯信道建模,FFT,PAPR抑制,各种同步,解调和解码等模块,并统括系统性能的仿真验证了系统设计的可靠性。 -OFDM where commonly used to model a relatively complete OFDM communication system simulation design, including coding, modulation, IFFT, and
  3. 所属分类:DSP program

    • 发布日期:2017-04-01
    • 文件大小:23272
    • 提供者:fengfeng
  1. equalizer_self_adaption

    1下载:
  2. 自适应均衡领域的经典算法,最小均方误差算法(LMS)算法的数字均衡器建模源代码。建模建立了一个通信系统的收发两端,并模拟了信道衰减和噪声。并在接收端使用了数字均衡器。主程序中一次在接收端使用了自适应均衡器,另一次没有使用,可以清楚看到均衡前后误码率的差别。-The field of classical adaptive equalization algorithm, the minimum mean square error algorithm (LMS) algorithm for model
  3. 所属分类:DSP program

    • 发布日期:2017-04-08
    • 文件大小:2299
    • 提供者:戴天喆
  1. HDB3

    0下载:
  2. 按照要求对“数字基带信号HDB3译码器设计与建模”进行逻辑分析,了解HDB3译码器译码原理,了解各模块电路的逻辑功能,设计通信系统框图,画出实现电路原理图,编写VHDL语言程序,上机调试、仿真,记录实验结果波形,对实验结果进行分析。(In accordance with the requirements of the logical analysis of the design and modeling of the digital baseband signal HDB3 decoder, H
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-11
    • 文件大小:8990720
    • 提供者:Remrinrin
搜珍网 www.dssz.com