CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 闹钟

搜索资源列表

  1. shizhong

    0下载:
  2. 用keil C编写绝对原创 内有proteus的仿真文件。可以实现时间的重设闹钟的设置,到时间后有警告。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:39882
    • 提供者:kou
  1. good

    1下载:
  2. 利用51单片机做的一个闹钟程序,可以自己设定闹铃时间
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:6466
    • 提供者:
  1. clock

    0下载:
  2. 带闹钟功能,可以调整闹钟和时间。文件含有protel 做的原理图和PCB文件
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:198668
    • 提供者:cloudsy
  1. EDA

    0下载:
  2. 本章介绍了两个EDA技术的综合应用设计实例:数字闹钟和直接数字频率合成器DDS。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:181846
    • 提供者:黄鹏曾
  1. clock

    1下载:
  2. 用vhdl设计实现的多功能电子钟,可有日历,闹钟,修改等多种功能
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1517614
    • 提供者:fana
  1. multifunction_clock

    0下载:
  2. 此为多功能数字电子钟的vhdl代码,有闹钟、时间可调、计时等功能
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4788
    • 提供者:naturexu
  1. clock

    0下载:
  2. 用单片机和数码管,构成数字时钟。可以设定时间和闹钟。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:18771
    • 提供者:王小
  1. time

    0下载:
  2. 个人做的电子钟,有32个闹钟,可以在一个星期内任一天响铃
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:88858
    • 提供者:刘镜安
  1. VHDL100

    2下载:
  2. 包含了VHDL语言的100个例子,如交通灯控制器,空调系统有限状态自动机,FIR滤波器,五阶椭圆滤波器,闹钟系统的控制
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:320768
    • 提供者:ttang
  1. Text1

    0下载:
  2. 单片机开发,通过1302 18b20 1602液晶显示器,实现闹钟的功能
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:4251
    • 提供者:affff
  1. clock

    0下载:
  2. 以前学习VHDL语言时做的一个电子闹钟程序,可以实现时,分,秒的计时以及定时,校时,闹钟,整点报时的功能。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:170022
    • 提供者:韩笑
  1. 5

    0下载:
  2. 液晶显示电子闹钟 at89s52单片机
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1473
    • 提供者:飞鸿
  1. 数字钟VHDl代码

    1下载:
  2. 本代码是用VHDL语言设计的数字钟,还附有整点报时,闹钟等功能
  3. 所属分类:VHDL编程

  1. 基于ATMEGA16,TEA5767的辉光管显示多功能收音机

    0下载:
  2. 采用AVR8位处理器,用辉光管现实时间和收音机频率,实现了时钟闹钟,收音机,电压表的功能。
  3. 所属分类:单片机(51,AVR,MSP430等)

  1. 8位电子时钟汇编代码

    1下载:
  2. 八位电子时钟,功能有年月日显示和时分秒显示和闹钟显示三种显示方式切换,并且可以对年月日,时钟秒,闹钟时间进行调节,并有闹钟闹铃功能
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2011-03-14
    • 文件大小:1882
    • 提供者:bin565107541
  1. TQ2440ARM触摸屏测试

    1下载:
  2. TQ2440触摸屏校准 显示时钟和闹钟功能
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2011-06-29
    • 文件大小:4176
    • 提供者:yandalc
  1. 51单片机时钟

    1下载:
  2. keil编写的51单片机时钟程序 有时分秒年月日闹钟 倒计时等功能
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2012-06-30
    • 文件大小:38458
    • 提供者:ooorczgc
  1. 基于STM32的ucos,ucgui,fatfs,移植

    0下载:
  2. 基于STM32的ucos,ucgui,fatfs,移植,并做好一个界面,有下拉菜单,有rtc,闹钟设置界面,利用BKP,掉电不丢失。-Based on the STM32 ucos, ucgui, fatfs, transplantation, and make an interface with pull-down menu, there is rtc, set the alarm clock interface, the use of BKP, non-volatile.
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-11-01
    • 文件大小:47608832
    • 提供者:li yang
  1. VHDL多功能时钟设计

    0下载:
  2. VHDL多功能时钟设计~~24小时制~带闹钟,VHDL design of multi-functional clock ~ ~ ~ 24 hours with alarm system
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-25
    • 文件大小:82757
    • 提供者:jecky
  1. main.rar

    0下载:
  2. MSP430系列 RTC源程序下载 使用430实现闹钟程序,可以显示年月日;时分秒,到达闹钟时间蜂鸣器报警。,MSP430 Series RTC source download alarm clock to achieve the use of 430 procedures, can show date when minutes and seconds, time to reach the alarm clock buzzer alarm.
  3. 所属分类:SCM

    • 发布日期:2017-03-24
    • 文件大小:4429
    • 提供者:
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 50 »
搜珍网 www.dssz.com