CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 除法器

搜索资源列表

  1. 数字系统设计教程4_9

    0下载:
  2. vhdl的几个编程,4位除法器的设计和原理说明,还有8位CPU设计-VHDL programming, the four division and the design principle that there are eight CPU Design
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:244725
    • 提供者:刘建
  1. 数字系统设计相关

    0下载:
  2. 这是有关VHDL的相关源代码,有简易CPU、加法器、除法器、计数器等-This is the relevance of the VHDL source code, a simple CPU, Adder, Divider, counters, etc.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:45195
    • 提供者:刘建
  1. VHDL5

    0下载:
  2. 加法器 乘法器电路 除法器电路设计 键盘扫描电路设计 显示电路-Adder multiplier circuit divider circuit design keyboard scan circuit design show circuit, etc.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:6607
    • 提供者:ngy68
  1. fixed_pointDivider

    0下载:
  2. 本人编写的定点除法器,开发软件为XILINX的ISE6.2,通过PAR仿真.-I prepared for the sentinel division, the development of software for the ISE6.2 Xilinx, PAR through simulation.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:397437
    • 提供者:litao
  1. VHDLchufaqi

    0下载:
  2. MAXPLUS2 自己编写的VHDL 4位除法器-MAXPLUS2 prepare themselves VHDL four Divider
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:129113
    • 提供者:刘建
  1. verlog_basic

    0下载:
  2. 用verlog语言编的一些基础实验,适合于FPGA/CPLD的初学者。内容包括8位优先编码器,乘法器,除法器,多路选择器,二进制转BCD码,加法器,减法器等等。-verlog used some language addendum to the basic experiment, which is suitable for FPGA / CPLD beginners. Including eight priority encoder, multipliers, dividers, multi-p
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-15
    • 文件大小:1004071
    • 提供者:leolili
  1. DivArrUns

    0下载:
  2. 用VHDL实现的除法器,非常好使,仿真通过了
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3036
    • 提供者:初德进
  1. divider

    1下载:
  2. 介绍了除法器的设计,采用verilogHDL语言,利用modelsim仿真验证,压缩包中包含了流程图
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:83931
    • 提供者:yaoyongshi
  1. div2

    1下载:
  2. 32位除法器 被除数和除数均为16位整数,16位小数 商为32位整数,16位小数 余数为16位整数,16位小数 Verilog HDL 代码
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1528
    • 提供者:李春阳
  1. VHDL语言写的简易计算器

    3下载:
  2. 用VHDL写的简易计算器,包括加减乘除,除法器用加法器和乘法器组成-Write simple calculator with VHDL, division, including add, subtract, multiply and divide adder on time-multiplier and used
  3. 所属分类:VHDL编程

    • 发布日期:2013-05-22
    • 文件大小:1018784
    • 提供者:倪萍波
  1. fast_divider

    0下载:
  2. 快速除法器,采用循环移位相减算法。 已经通过仿真。-Quick divider using cyclic shift subtraction algorithm. Simulation has been passed.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:569
    • 提供者:neimty
  1. division1

    0下载:
  2. 基于vhdl/verilog的18位除法器程序。已经过仿真和综合。-Based on vhdl/verilog program for 18-bit divider. Has been simulation and synthesis.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:521
    • 提供者:包鼎华
  1. div_8

    0下载:
  2. 八位除法器 VHDL实现 八位除法器 VHDL实现-8-Bit divider 8-Bit divider 8-Bit divider
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:1406
    • 提供者:郑书鑫
  1. div

    0下载:
  2. 二进制除法器,采用移位相减的方法实现,位数可调-The source code of a divider
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1050
    • 提供者:shengzc
  1. divider

    0下载:
  2. 带时钟及控制的多位除法器设计,利用状态机来实现控制-multi-cycle divider design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:96171
    • 提供者:李丽萍
  1. div

    0下载:
  2. 实现了不恢复余数除法器,采用Verilog HDL编码,仿真通过。-Not to restore the balance achieved divider, using Verilog HDL coding, simulation through.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:1048
    • 提供者:张文
  1. div

    0下载:
  2. VERILOG除法器,已经调试好。大家可以参照学习.-sub-divided function,I have debug it right.It is helpful to you
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:128870
    • 提供者:xiaowang
  1. divider

    0下载:
  2. FPGA除法器的使用32位的,有商和余数-FPGA using 32-bit divider, there are the quotient and remainder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:1000
    • 提供者:余木
  1. Dividers

    0下载:
  2. 文件中包括各种除法器,不同类型的,不同算法的。(The document includes a variety of divider, different types, different algorithms.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-19
    • 文件大小:8192
    • 提供者:FollowSky
  1. devided

    0下载:
  2. 一个16位除8位的除法器,能够输出余数和商。(In addition to a 16 bit 8 bit divider, can output the remainder and quotient.by stan)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-29
    • 文件大小:12395520
    • 提供者:stanary
« 1 23 4 5 6 7 8 9 »
搜珍网 www.dssz.com