CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 随机数生成

搜索资源列表

  1. RandomNumberGenerators

    0下载:
  2. Random Number Generators(随机数生成)包括gaussian random number generator、uniform random number generator、low-frequency hold generator、1/f noise generator等5种随机信号生成的c源代码-Random Number Generators (Random Number Generation), including Gaussian random number gen
  3. 所属分类:DSP编程

    • 发布日期:2008-10-13
    • 文件大小:2447
    • 提供者:刘嘉焜
  1. Random_Number_generator

    0下载:
  2. 此代码用于产生系统设计仿真阶段需要的仿真数据,运行的结果是一系列随机数。编译后可生成数据产生模块,在其他工程中之间调用之作为数据输入即可,对vhdl涉及仿真有一定的帮助
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:36189
    • 提供者:王弋妹
  1. 用VHDL生成伪随机数

    0下载:
  2. 用VHDL生成伪随机数,资源占用少,最高频率可达200MHz
  3. 所属分类:VHDL编程

    • 发布日期:2012-09-28
    • 文件大小:884
    • 提供者:al00ok@126.com
  1. random_arm

    0下载:
  2. 采用MD4哈希函数的随机数生成函数,已在ARM环境下测试过。可应用于如无线数据发送时的随机避让。-Random number generator utilizing MD4 hash function of environmental noise captured as the seed and a linear congruence generator for the random numbers.
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-13
    • 文件大小:2327
    • 提供者:王芊芊
  1. random_include

    1下载:
  2. 基于DSP的随机数生成头文件 调用mm函数后输入number即可得到相应长度的随机数,使用的是线性同余法,已经在CCS上调试通过并经过TMS320C6416实际跑程序验证,在生成高斯白噪声和保密码等领域都有一定用处,希望有所帮助-a include text file of random number generator based on DSP
  3. 所属分类:DSP program

    • 发布日期:2017-03-28
    • 文件大小:935
    • 提供者:liuzhen
  1. ROM

    0下载:
  2. 本代码实现的是生成随机数的verilog 代码。可在ModelSim中仿真-The code is the verilog code to generate random numbers. In the simulation in the ModelSim
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:1331
    • 提供者:kmao
  1. boxmuller

    0下载:
  2. 硬件生成随机数的算法,boxmiller算法-boxmiller
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:53448
    • 提供者:Leo Philip king
  1. chaosushuchaxun

    0下载:
  2. 利用一种伪随机数生成的新方法 ———超素数法,在单片机的P1.6口产生周期为498的伪随机序列。-Pseudo-random number generator using a new method--- law of prime numbers, generated in the microcontroller ports P1.6 period of 498 pseudo-random sequence.
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:14633
    • 提供者:wangliping
  1. yaohao

    1下载:
  2. 基于单片机实验系统V2的抽奖摇号程序 抽奖或摇号经常被应用在日常的生活中。其实,实现的原理很简单,就是产生一个随机数。以下源程序是C51实现的抽奖摇号程序,可在单片机实验系统V2上运行。 将程序经KEIL C 生成机器码文件(HEX)烧写入单片机,上电运行时,数码管显示两位的随机数,按住按钮即显示抽出的号码。-Based on SCM experiment system V2 lottery number wave program Draw or wave number is oft
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:7983
    • 提供者:mark
  1. PRBS

    5下载:
  2. 代码是伪随机数生成和检测的模块,用于通信行业的FPGA编程。包括VHDL和Verilog两种语言的版本。用于做接口测试。-This module generates or check a PRBS pattern.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:7118
    • 提供者:jinse
  1. 定时采 集温度值

    1下载:
  2. 利用labview编程: 8、将7题中的X轴改为时间轴显示,要求时间轴能真实的反映采样时间。想想为什么与上题的显示结果截然不同? 9、创建头文件,向文件添加采样数据。 内容:创建一个VI,产生头文件,再使用 For 循环定时采 集温度值,并将每次采样时间及温度值以ASCII格式添加到文 件中。 注意:温度值可用随机数+80来生成。-Labview programming: 8, 7 X-axis title to the timeline timeline t
  3. 所属分类:VHDL编程

    • 发布日期:2017-11-11
    • 文件大小:43230
    • 提供者:Haibin Zhang
  1. GuessGame

    1下载:
  2. VHDL猜数游戏,系统生成随机数,操作者输入猜测的数字,系统给出输入数字与生成数字的大小关系,并统计猜测次数。可以下板使用-VHDL guessing game, the system generates a random number to guess the number of operator input, digital input and generating system gives the magnitude relationship between the digital and
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-08-01
    • 文件大小:551936
    • 提供者:Yixue
  1. source

    0下载:
  2. ZIGEBEE随机数生成程序,此程序可以随机生成数字然后在lcd1602上显示出生成的数字。-ZIGEBEE random number generator program, this program can then display a randomly generated numeric numbers generated on lcd1602.
  3. 所属分类:SCM

    • 发布日期:2017-04-15
    • 文件大小:7333
    • 提供者:wuqiang
  1. Entropy-v1.0.2

    0下载:
  2. arduino开发板真正的随机数生成函数-arduino true random number generation function
  3. 所属分类:SCM

    • 发布日期:2017-04-26
    • 文件大小:473699
    • 提供者:陈勇
  1. LFSR

    0下载:
  2. 这是基于FPGA开发板NEXTS3的一个verilog程序,是一个线性反馈移位寄存器LFSR,可用来生成伪随机数-This is based on the FPGA development board NEXTS3 a verilog program, is a linear feedback shift register LFSR, can be used to generate pseudo random Numbers
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:859069
    • 提供者:黄志宇
  1. suijishu

    0下载:
  2. 生成随机数可以生成一定范围内的随机数,帮助我们随机选择。-Can generate a random number generates a random number within a certainrange,Help us randomly selected。
  3. 所属分类:SCM

    • 发布日期:2017-04-12
    • 文件大小:733
    • 提供者:beanaaoaa
  1. random

    0下载:
  2. 用简单的线性反馈移位寄存器实现了伪随机数的生成…(The pseudo random number is generated by a simple linear feedback shift register)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-04
    • 文件大小:740352
    • 提供者:fv_4
  1. 0-999随机数

    3下载:
  2. 1. 设计并实现一个随机数生成电路,每2秒随机生 成一个0~999之间的数字,并在数码管上显示 生成的随机数。 2. 为系统设置一个复位键,复位后数码管显示 “000”,2秒后再开始每2秒生成并显示随机 数,要求使用按键复位。(1. Design and implement a random number generating circuit, which generates randomly every 2 seconds Form a number between 0 and 999 an
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2021-04-13
    • 文件大小:4747264
    • 提供者:Minbadly
搜珍网 www.dssz.com