CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - Destroy-Vote

搜索资源列表

  1. qrbjq

    0下载:
  2. 用FPGAS实现七人表决器,内含数码管显示。输入为七个开关,输出为数码管显示表决通过的人数,并用一个led灯显示表决结果(输入同意大于等于4灯亮否则灭,同时数码管显示同意的人数)。-FPGAS realize with seven people BiaoJueQi, contains the digital pipe display. Input for seven switch, output for digital pipe display the number of vote throug
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1430691
    • 提供者:徐剑锋
搜珍网 www.dssz.com