CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - FIR Verilog

搜索资源列表

  1. fir

    0下载:
  2. Verilog 程序, 实现4阶 fir-filter滤波器。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1740
    • 提供者:左麟
  1. FIRde-verilog-shixian

    0下载:
  2. 有符号DA算法的FIR滤波器的Verilog实现-A symbol of the algorithm of DA FIR filters Verilog realized
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:4035
    • 提供者:紫微
  1. fir_lms

    0下载:
  2. 一个不错的关于lms算法的verilog代码,算然只有两级,但是对了解lms用HDL描述有很好的理解作用。希望对大家有用~-A good lms algorithm on the verilog code, development environment, I can not find, even if the vhdl it! We hope to be useful
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1334
    • 提供者:onion
  1. LMS_filter

    2下载:
  2. verilog HDL 写的LMS滤波器-LMS filter using verilog HDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:
    • 文件大小:350313
    • 提供者:rayax
  1. verilog.DA.FIR..

    1下载:
  2. 用verilog写的16阶串行DA算法FIR滤波器-Verilog written by 16-order FIR filter serial DA algorithm
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:576442
    • 提供者:代鑫
  1. fir

    0下载:
  2. 使用verilog语言实现的fir滤波器,使用了内部的触发器资源,优化。-Verilog language used to achieve the fir filter, the use of internal resources of the flip-flop, and optimize.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-08
    • 文件大小:1452
    • 提供者:liang jianbing
  1. fir

    0下载:
  2. 16阶FIR VHDL程序并附带testbench,并有简单流水线设计!-16 Tap FIR vhdl code with testbench and pipelining design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:351976
    • 提供者:hongwan
  1. Xilinx-FIR

    1下载:
  2. 基于Xilinx FPGA实现的系数可装载数字滤波器源代码-Configurable Digital Filter Based on FPGA (using Verilog under Matlab 2008a)
  3. 所属分类:VHDL编程

    • 发布日期:2013-03-06
    • 文件大小:3090145
    • 提供者:胡文静
  1. cic_intp_64_four

    0下载:
  2. 4阶CIC内插滤波器,内插系数64,Verilog版本,数字下变频-4-order interpolating CIC filter interpolation factor of 64, Verilog version of the digital down-conversion
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:652
    • 提供者:王刚
  1. fir_dec3

    1下载:
  2. FIR抽取滤波器,抽取系数3,Verilog版本,数字下变频-FIR decimation filter, extraction coefficient of 3, Verilog version of the digital down-conversion
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:1853
    • 提供者:王刚
  1. FIR64tap

    0下载:
  2. 使用verilog语言实现64阶FIR,调试可以通过-64 taps FIR with verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:19706
    • 提供者:黄锦江
  1. FIR

    0下载:
  2. 实现FIR滤波,利用Verilog语言对其进行了设计 -FIR filter implementation using Verilog language design was carried out
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4126455
    • 提供者:翁萍
  1. VerilogFIR

    0下载:
  2. low pass FIR filter programmed by Verilog, you can change the coefficients in the program to achieve different response
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:4224742
    • 提供者:吴恒
  1. dilbalu_fir7

    0下载:
  2. basic fir filtering in verilog fpga in vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:142341
    • 提供者:dileepkumar
  1. fir filter design

    0下载:
  2. FIR FILTER DESIGN IN VERILOG ON FPGA
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-24
    • 文件大小:18432
    • 提供者:GIRISH
  1. fir

    0下载:
  2. 基于verilog的 FIR低通滤波器的实现(Implementation of FIR low pass filter based on Verilog)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-19
    • 文件大小:140288
    • 提供者:yaaaan
  1. 数字信号处理的FPGA实现-第三版-verilog源程序

    1下载:
  2. 数字信号处理的FPGA实现, 包括了FPGA基础知识,浮点运算,信号处理的FIR FFT等,附录包含源代码(Digital signal processing FPGA implementation, including the basic knowledge of FPGA, floating point operations, signal processing FIR, FFT, etc., the appendix contains the source code)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-14
    • 文件大小:4568279
    • 提供者:btty
  1. FIR

    0下载:
  2. FIR filter in verilog for xilinx ise design suit
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-02
    • 文件大小:190464
    • 提供者:addy007
  1. Verilog的135个经典设计实例

    1下载:
  2. Verilog的135个经典设计实例,部分摘录如下:【例 9.23】可变模加法/减法计数器【例 11.7】自动售饮料机【例 11.6】“梁祝”乐曲演奏电路【例 11.5】交通灯控制器【例 11.2】4 位数字频率计控制模块【例 11.1】数字跑表【例 9.26】256×16 RAM 块【例 9.27】4 位串并转换器【例 11.8】多功能数字钟【例 11.9】电话计费器程序【例 12.13】CRC 编码【例 12.12】(7,4)循环码纠错译码器【例 12.10】(7,4)线性分组码译码器【例
  3. 所属分类:VHDL/FPGA/Verilog

  1. fir滤波器

    1下载:
  2. FIR滤波器,verilog编写,可以正常使用(FIR filter, written by verilog, can be used normally, very good)
  3. 所属分类:硬件设计

    • 发布日期:2020-12-05
    • 文件大小:1031168
    • 提供者:happytian
« 1 23 4 5 6 7 »
搜珍网 www.dssz.com