CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - FPGA

搜索资源列表

  1. 基于FPGA和one-wire的DS18B20仿真和设计

    0下载:
  2. 基于FPGA和one-wire的DS18B20仿真和设计 包括3个.v文件和一个PDF文档
  3. 所属分类:嵌入式/单片机编程

  1. fpga数字示波器

    0下载:
  2. fpga数字示波器,可以显示波形,功能强大,你值得拥有!!!!!!!!!!!!!!!
  3. 所属分类:VHDL编程

  1. FPGA IP cores

    1下载:
  2. FPGA IP cores on verilog for USB CY7C68013, VGA, Ethernet DM9000A, Sound WM8731.
  3. 所属分类:VHDL编程

    • 发布日期:2017-05-22
    • 文件大小:19559
    • 提供者:drno@ukr.net
  1. audio on fpga

    0下载:
  2. THis is the project that demonstrate the audio system on fpga basement. From this starting piont, other researcher can develop their own project easily
  3. 所属分类:VHDL编程

    • 发布日期:2015-11-17
    • 文件大小:3310416
    • 提供者:tngotran
  1. fpga calculate

    0下载:
  2. 基于FPGA的建议运算器,可以实现加、减、乘等算术运算,通过开发板输入输出
  3. 所属分类:VHDL编程

  1. fpga usb

    0下载:
  2. 基于fpga的usb端口verilog调试程序,可利用键盘鼠标控制开发板的一些动作
  3. 所属分类:VHDL编程

  1. 三速以太网代码(FPGA)

    4下载:
  2. 本代码是运用xilinx的fpga实现千兆网的,同时支持百兆以太网和10兆以太网。内含仿真文件
  3. 所属分类:VHDL编程

  1. FPGA 电子相框

    1下载:
  2. 这是一个基于 de2,搭建的FPGA电子相框,包括硬件和软件,可以实现十张从SD卡读取图片到LCD上显示,非常正规!!
  3. 所属分类:VHDL编程

  1. FPGA examble

    0下载:
  2. FPGA学习类资料,是当时买开发板店家赠送的资料。均通过实验验证。(FPGA learning materials)
  3. 所属分类:硬件设计

    • 发布日期:2017-12-23
    • 文件大小:5711872
    • 提供者:XP123
  1. Verilog for fpga

    0下载:
  2. verilog基础使用资料,包括基础语法以及使用例子,适合初学者(basic files for verilog program in FPGA)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-22
    • 文件大小:1217536
    • 提供者:summergirl
  1. FPGA的学习流程

    0下载:
  2. FPGA 的学习流程,FPGA设计人员的进阶路线, FPGA设计学习经验小谈等等论坛经验总结(FPGA's learning process, the advanced course of FPGA designers, FPGA design, learning experience, little talk, and so on)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-29
    • 文件大小:348160
    • 提供者:linlei
  1. FPGA设计高级篇(Xilinx版)

    1下载:
  2. FPGA设计的高级篇,xilinx出品,适合已经入门想要进阶的学习(FPGA design advanced article, Xilinx produced, suitable for already started, want advanced learning)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-24
    • 文件大小:1705984
    • 提供者:wqywqy
  1. fpga

    0下载:
  2. 关于INTEL公司的fpga开发板资料、说明(introduction of the fpga developed by INTEL)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-22
    • 文件大小:9847808
    • 提供者:12112
  1. fpga很有价值的27实例

    0下载:
  2. 为fpga初学者设计的基于fpga的27个简单实用的应用实例,(FPGA Application example)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-21
    • 文件大小:1278976
    • 提供者:ltgg
  1. FPGA设计实战演练 高级技巧篇

    4下载:
  2. FPGA设计实战演练——高级技巧篇,很有用的参考书籍(Programming books on the FPGA)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-23
    • 文件大小:89524224
    • 提供者:紫帝
  1. eetop.cn_无线通信FPGA代码

    0下载:
  2. 无线通信FPGA源代码,供大家使用啊啊啊啊(wuxiantongxinFPGA VERILOG HDL)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-18
    • 文件大小:200704
    • 提供者:猪猪乾坤
  1. FPGA-频率计(等精度测频+SPI通信)

    0下载:
  2. 本程序采用FPGA编程,实现等精度测频的程序,并且有实现SPI通信的程序。(This procedure uses FPGA programming, such as precision frequency measurement procedures, and to achieve SPI communication procedures.)
  3. 所属分类:VHDL/FPGA/Verilog

  1. FPGA TFT 驱动

    0下载:
  2. 用于 驱动TFT的FPGA代码。该代码是用VHDL编写。(FPGA code for driving TFT.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-19
    • 文件大小:542720
    • 提供者:虞yuyuyuyuyu
  1. FPGA实现UPP接口

    2下载:
  2. FPGA实现UPP接口主要介绍了FIFO在实际工程中的使用。希望对upp的学习有所帮助
  3. 所属分类:硬件设计

  1. FPGA 自学源代码

    0下载:
  2. fpga学习源代码,其中包括许多简单程序的实例和讲解,很有作用(FPGA learning source code)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-12-21
    • 文件大小:48486400
    • 提供者:春@光
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 50 »
搜珍网 www.dssz.com