CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - Hexadecimal count

搜索资源列表

  1. COUNT

    0下载:
  2. 这是一个十六进制的加减计数器源代码,把其修改一下就可以用其他进制了-This is a hexadecimal addition and subtraction counter source code, its change it can use other hex of the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:283402
    • 提供者:max
  1. timer

    0下载:
  2. 能够实现小时(24进制)、分钟和秒钟(60进制)的计数功能 具有复位功能 功能扩展:具有整点报时提示、定时闹钟等功能 -To achieve an hour (24 hexadecimal), minutes and seconds (60 hexadecimal) count function function reset function expansion: with the whole point timekeeping tips, regular features such
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:664212
    • 提供者:doraemon
  1. shumaxianshi

    0下载:
  2. 系统上电后会有提示音,并且数码管显示0。K1键使数码管进行十六进制减1计数显示,K2键使数码管进行十六进制加1计数显示。数码管显示的同时,发光两极管将显示对应的二进制数。亮表示1,灭表示0-Power system will be prompted after the tone and digital display 0. K1 key for digital control by a count of hexadecimal display, K2 keys for digital contr
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:18224
    • 提供者:sunbin
  1. jianyishuzizhong

    0下载:
  2. 一个简易的数字钟,能显示小时、分和秒,是一台按秒计数并显示的计时器,其中秒和分为60进制,小时为24进制计数。-A simple digital clock showing the hours, minutes and seconds, is one count per second and displays the timer, which is divided into 60 seconds, and decimal, hexadecimal count for 24 hours.
  3. 所属分类:SCM

    • 发布日期:2017-04-24
    • 文件大小:10392
    • 提供者:刘逊
  1. DF2C8_03_NixeTube

    0下载:
  2. :8 个数码管从 0 开始计数,每次增加 1;每位显示的字符包括从 “0~F”16 个十六进制数;  按下复位按键之后,计数从 0 重新开始。由此可验证数码管、有 源时钟和复位按键等功能。-: 8 digital tube starts counting from 0, for each increase of 1 each displayed character from " 0 ~ F" 16 hexadecimal numbers press the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:732324
    • 提供者:qiutian
  1. led

    0下载:
  2. AT89C2051驱动6位共阴LED管 并将计数65535 每位扫1ms,定时0, 加了码表0-9,其中p1.7\a,,,,,p1.0\h 50h,,,54h,个,十,百,千,万 40h十六进制低位,41十六进制高位-AT89C2051 drive common cathode LED Tube 6 6 AT89C2051 common cathode LED driver tube and count 65535 each sweep 1m
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:1163
    • 提供者:ZHAO
  1. cnt16anddisplay

    0下载:
  2. 源代码实现十六进制的显示,包括三个模块:分频、计数、显示。适合vhdl的初级读者以及在校大学生-Source code in hexadecimal display, consists of three modules: frequency, count, display. Vhdl for primary readers and college students
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4054
    • 提供者:刘海亮
  1. CPLD

    0下载:
  2. 设计一个6进制递增计数器,计数信号通过K0产生并输入。计数结果利用试验仪上的数码管LED2显示。-Design a 6 hexadecimal increment the counter, and enter the count signal generated by K0. Count results on the tester digital tube display LED2.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-17
    • 文件大小:99047
    • 提供者:张宇
  1. sy1(1)

    0下载:
  2. 每按一次按键,累计按键次数并通过LED显示出来,且采用16进制计数。-Each time you press the button, the cumulative number of keystrokes and through the LED display and hexadecimal count.
  3. 所属分类:SCM

    • 发布日期:2017-11-24
    • 文件大小:15838
    • 提供者:钟建新
  1. led_24_terminal

    0下载:
  2. 这是一段用VHDL语言写的24进制计数器,用数码管显示,我用了例化语句,分为24进制计数器模块,十位译码,个位译码,用cycloneII ep2系列实验板验证,能计数0~23。此程序还可以修改为100以内任何进制计数器。-This is a written in VHDL language 24 a binary counter, using digital tube display, I used the instantiated statements, divided into 24 hex
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:352802
    • 提供者:高安
  1. dianzhen

    0下载:
  2. 需要实现点阵按列依次并且循环显示的效果,可以分析视觉上可以观察到列的变化,则列的扫描频率必定要远远小于行扫描的频率。在程序中,设置行扫描的频率等于前次实验中数码管扫描的频率,设置列扫描的频率为5HZ,即每0.2s显示亮的一列向前推进一列。在程序中,使用16进制计数作为74HC154的输入:分出5hz的频率,并用其计数,将计数值作为74HC154,则其译码产生的输出变化也为5hz,并且实现每列一次选通。由于每行对应的数码管共阳极。直接赋高电平。则可以实现所需要的功能。行扫面则是要实现先依行点亮,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:728258
    • 提供者:丁明凯
  1. sp6ex14

    0下载:
  2. verilog,ISE工程。倒车雷达实例,每100ms产生1个超声波测距模块所需的10us高脉冲激励,并用数码管以16进制数据显示经过滤波处理的回响信号的高脉冲计数值(以10us为单位),与此同时,蜂鸣器根据障碍物远近,也会相应的发出不同频率的响声。-verilog, ISE project. Reversing radar instance, every 100ms high pulse generating 10us required an ultrasonic ranging module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-22
    • 文件大小:6226774
    • 提供者:lyg
  1. digital-clock-circuit-.ms13

    0下载:
  2. 数电_Multisim设计_数字时钟电路 (显示时:分:秒 CP 频率 f 1Hz) 【电路说明】 1 基于 74LS160 做三个计数器(时:24 进制,分:60 进制,秒:60 进制) 2 秒针计数器完成一次计数后,进位给分针计数器的 P 和 T。 分针计数器完成一次计数后,进位给时针计数器的 P 和 T。-Digital circuit _Multisim design _ digital clock circuit (Display: hours: minutes
  3. 所属分类:HardWare Design

    • 发布日期:2017-12-13
    • 文件大小:205146
    • 提供者:WeiDi
搜珍网 www.dssz.com